The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delays with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1969 (17) 1970-1973 (16) 1974-1976 (21) 1977-1981 (17) 1982-1983 (17) 1984 (15) 1985 (18) 1986 (15) 1987 (21) 1988 (34) 1989 (37) 1990 (56) 1991 (38) 1992 (48) 1993 (66) 1994 (77) 1995 (150) 1996 (100) 1997 (149) 1998 (138) 1999 (180) 2000 (218) 2001 (226) 2002 (282) 2003 (335) 2004 (559) 2005 (612) 2006 (695) 2007 (718) 2008 (814) 2009 (665) 2010 (417) 2011 (389) 2012 (461) 2013 (504) 2014 (496) 2015 (517) 2016 (522) 2017 (531) 2018 (618) 2019 (609) 2020 (646) 2021 (605) 2022 (600) 2023 (588) 2024 (165)
Publication types (Num. hits)
article(8212) book(2) data(5) incollection(20) inproceedings(5756) phdthesis(27)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5912 occurrences of 2755 keywords

Results
Found 14022 publication records. Showing 14022 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
81Abdelkader Lahmadi, Laurent Andrey, Olivier Festor On Delays in Management Frameworks: Metrics, Models and Analysis. Search on Bibsonomy DSOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Management delays analysis, Management delays metrics, Management delays modelling
80Kumar N. Lalgudi, Marios C. Papaefthymiou Efficient retiming under a general delay model. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays
62Sumit Ghosh In Search of the Origin of VHDL's Delta Delays. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Delta delay, simulation accuracy, BCL, Conlan, continuous systems, simulation, timing, discrete event simulation, VHDL, hardware, hardware description language, HDLs
59Li-Hsing Yen Probabilistic analysis of causal message ordering. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF causal message ordering, potential causality, unpredictable message delays, unbounded message delays, bounded message delays, deferred sending, delays, message passing, causality, probabilistic analysis, uniform distribution, exponential distribution, exponential distribution, modular decomposition
52Theodora A. Varvarigou, Vwani P. Roychowdhury, Thomas Kailath, Eugene L. Lawler Scheduling In and Out Forests in the Presence of Communication Delays. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF out-forest precedence graphs, out-forest precedence graphs, optimal deterministic schedules, polynomial-time algorithms, Communication delays, multiprocessor architectures
47Patricia Balbastre, Ismael Ripoll, Alfons Crespo Control tasks delay reduction under static and dynamic scheduling policies. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF control task delay reduction, dynamic scheduling policy, static scheduling policy, control action delays, dynamic scheduling policies, data acquisition interval, control action interval, DAI, control design phase, scheduling, delays, control systems, industrial application, data acquisition, data acquisition, scheduling policies, static scheduling, control algorithms, EDF scheduling, industrial control, CAI, worst case response time, task decomposition, control performances, digital control
46C. R. Venugopal, S. S. S. P. Rao Impact of Delays in Parallel I/O System: An Empirical Study. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel input output system, disk access delays, disk performance, parallel distributed file system, parallel processing, delays, distributed databases, multiprocessing systems, multiprocessor system, software performance evaluation, communication links, application performance, processor speeds, performance benefits
45Ravi Mirchandaney, Donald F. Towsley, John A. Stankovic Analysis of the Effects of Delays on Load Sharing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF symmetric algorithm, load-sharing algorithms, remote state information, matrix-geometric solution technique, scheduling, performance evaluation, distributed systems, delays, delays, distributed processing, Markov processes, queueing theory, task scheduling, performance metrics, queueing models, communication delays, forward, performance characteristics, homogeneous system
43Matthias Müller-Hannemann, Mathias Schnee Efficient Timetable Information in the Presence of Delays. Search on Bibsonomy Robust and Online Large-Scale Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timetable information system, primary and secondary delays, dynamic graph update, dependency graph
43Shujun Tan, Zhi-Gang Wu, Wanxie Zhong Optimal induced norm computation of discrete H∞ control systems with time-delays. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Discrete systems with time-delays, Optimal H ? induced norm, Extended W-W algorithm
43Ulrike Meyer, Jing Shao, Saurish Chakrabarty, Sebastian F. Brandt, Harald Luksch, Ralf Wessel Distributed delays stabilize neural feedback systems. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optic tectum, Nucleus isthmi, Delays, Feedback, Vision
43Kwang Sung Park, Jin Bae Park, Yoon Ho Choi, Zhong Li, Nam Hyun Kim Design of H2 Controllers for Sampled-Data Systems with Input Time Delays. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sampled-data systems, input time delays, lifting technique, H 2 sampled-data controller, real-time control systems
42Eric Sanlaville Sensitivity bounds for machine scheduling with uncertain communication delays. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF transportation delays, uncertainty, sensitivity analysis, communication delays, machine scheduling
42Xinzhu Meng, Huidong Cheng, Lansun Chen Profitless delays for permanence in a pure-delayed nonautomous Lotka-Volterra competitive system with infinite delays and discrete delays. Search on Bibsonomy SNPD (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Themistoklis Charalambous, Ioannis Lestas, Glenn Vinnicombe On the stability of the Foschini-Miljanic algorithm with time-delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Nageswara S. V. Rao Overlay networks of in situ instruments for probabilistic guarantees on message delays in wide-area networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Zhengxia Wang, Xiaofeng Liao 0001, Jiali Mao, Guodong Liu Robust stability of stochastic genetic regulatory networks with discrete and distributed delays. Search on Bibsonomy Soft Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Stochastic perturbation, Distributed delays, Robust stability, LMI, Genetic network, Uncertain system
38Ke Ding, Nan-Jing Huang Global Robust Exponential Stability of Interval General BAM Neural Network with Delays. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF robust exponential stability, delays, interval neural networks
37Stephen H. Unger Hazards, Critical Races, and Metastability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF critical race, dynamic hazards, essential hazards, inertial delays, pure delays, sequential logic, timing problems, delays, Asynchronous, metastability, timing simulation
37Guy Even, Ami Litman Overcoming chip-to-chip delays and clock skews. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF chip-to-chip delays, chip-to-chip interconnections, feasible clock period, large systolic linear arrays, systolic two-dimensional arrays, logic duplication, delays, logic design, systolic arrays, systolic array, functionality, retiming, clock skews
35Michael V. Basin, Peng Shi 0001, Darío Calderon-Alvarez Central suboptimal Hinfinity filter design for linear time-varying systems with state and measurement delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Danko Nikolic Non-parametric detection of temporal order across pairwise measurements of time delays. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Phase offset, Temporal-order code, Transitivity, Additivity, Cross correlation
35Jirí Síma On the Complexity of Training a Single Perceptron with Programmable Synaptic Delays. Search on Bibsonomy ALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Chengzhi Li, Riccardo Bettati, Wei Zhao 0001 Static priority scheduling for ATM networks. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic priority scheduling, delay computation, priority assignment problems, potential cyclic dependency, unstable network, delay sensitive applications, worst case end to end delays, priority assignment methods, asynchronous transfer mode, ATM networks, numerical method, ATM switches, packet delays, arbitrary topology, delay constraints, priority assignment, traffic scheduling, static priority scheduling, computing error
34Eytan H. Modiano Scheduling packet transmissions in a multi-hop packet switched network based on message length. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF packet transmissions scheduling, multi-hop packet switched network, message transmission delays reduction, preemptive algorithm, shortest-message-first principle, shortest-remaining-transmit-time, message sizes, first-come-first-serve scheduling, end-to-end message delays, algorithms, performance analysis, packet switching, network protocols, simulation models, message length
34Andrew B. Kahng, Kei Masuko, Sudhakar Muddu Analytical delay models for VLSI interconnects under ramp input. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SPICE-computed delay, VLSI routing topologies layout, analytical delay models, arbitrary interconnect trees, interconnect transfer function, performance-driven synthesis, ramp input, source-sink delays, VLSI, Elmore delay, interconnect delays, VLSI interconnects, RLC interconnections
34Gary C. Moyer, Mark Clements, Wentai Liu, Toby Schaffer, Ralph K. Cavin III A technique for high-speed, fine-resolution pattern generation and its CMOS implementation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed fine-resolution pattern generation, data signals, edge placement, matched delays, MOSIS CMOS technology, 100 ps, 833 Mbit/s, architecture, delays, test pattern generators, network interfaces, CMOS digital integrated circuits, 1.2 micron
34Tapan J. Chakraborty, Vishwani D. Agrawal Simulation of at-speed tests for stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test
34Anurag Kumar 0001, Rajeev Shorey Performance Analysis and Scheduling of Stochastic Fork-Join Jobs in a Multicomputer System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF stochastic fork-join jobs, probabilistic allocation of tasks, associated random variables, diffusion limits, simulations, scheduling, scheduling, performance evaluation, performance analysis, parallel processing, lower bounds, delays, queueing theory, digital simulation, upper bounds, stochastic processes, multicomputer system, parallel processing system, queueing delays, exact analysis
34Gregor von Bochmann Delay-Independent Design for Distributed Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF interconnected modules, module interfaces, distributed systems, protocols, protocols, synchronization, delays, distributed processing, regularity, communication delays
34Ke Ding, Nan-Jing Huang, Xing Xu Global Robust Exponential Stability of Interval BAM Neural Network with Mixed Delays under Uncertainty. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed delays, uncertainty, robust stability, LMI, interval neural networks
34David J. Roberts 0001, Paul M. Sharkey Maximising Concurrency and Scalability in a Consistent, Causal, Distributed Virtual Reality System Whilst Minimising the Effect of Network Delays. Search on Bibsonomy WETICE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF distributed virtual reality system, simulation systems, scalable causal event delivery, update control, scalable reliable communication, wall clock, causal time-related entity behaviour, virtual reality, scalability, concurrency, standards, HLA, network delays, DIS, multi-user systems
34Jiye Zhang, Dianbo Ren, Weihua Zhang Global Exponential Stability of Fuzzy Cohen-Grossberg Neural Networks with Variable Delays and Distributed Delays. Search on Bibsonomy ICIC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Neural networks, fuzzy, time delay, global exponential stability
33Branislava Perunicic, Salim Lakhani, Veljko M. Milutinovic Stochastic Modeling and Analysis of Propagation Delays in GaAs Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF GaAs adders, stochastic changes, III-V semiconductors, probability, combinational circuits, stochastic modelling, stochastic processes, adders, combinatorial circuits, propagation delays, gate delays, GaAs, gallium arsenide, probability distribution function
33Kang G. Shin, Parameswaran Ramanathan Transmission Delays in Hardware Clock Synchronization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF hardware clock synchronization, malicious faults, delays, synchronisation, clocks, Byzantine faults, transmission delays, time overhead, clock signals
31Jay Cheng Constructions of Fault-Tolerant Optical 2-to-1 FIFO Multiplexers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Takuo Nakashima Experimental Analysis of Propagation Properties Implementing the Path-Based Measurement. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31T. N. Vijaykumar, Zeshan Chishti Wire Delay is Not a Problem for SMT (In the Near Future). Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Seong-ryong Kang, Dmitri Loguinov IMR-Pathload: Robust Available Bandwidth Estimation Under End-Host Interrupt Delay. Search on Bibsonomy PAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interrupt moderation, interrupt delays, network measurement, Bandwidth estimation
30David Wessels, Jon C. Muzio The dangers of simplistic delay models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical paths, Delay models, path sensitization, circuit delays
30Zhigang Zeng, Huangqiong Chen, Shiping Wen 0001 Global exponential stability of recurrent neural networks with pure time-varying delays. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30In Lee, Seungmoon Choi Discrimination of Virtual Environments Under Visual and Haptic Rendering Delays. Search on Bibsonomy FBIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Tao Zhang, Yuanchun Li Delay-dependent robust stabilization of uncertain systems with interval time-varying state and input delays. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Tolga Urhan, Michael J. Franklin, Laurent Amsaleg Cost Based Query Scrambling for Initial Delays. Search on Bibsonomy SIGMOD Conference The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Minseok Song 0001, Naehyuck Chang, Heonshik Shin A new queue discipline for various delay and jitter requirements in real-time packet-switched networks. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fairness requirements, real-time packet-switched networks, data packet scheduling, service priority, jitter bound, Rate-controlled Frame-based Weighted Round Robin, frame-based WRR server, constant buffer space, scheduling, asynchronous transfer mode, delays, queueing theory, packet switching, bandwidth, ATM networks, rate controller, jitter, telecommunication traffic, end-to-end delays, delay bound
29Chengzhi Li, Edward W. Knightly Coordinated Network Scheduling: A Framework for End-to-End Services. Search on Bibsonomy ICNP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF coordinated network scheduling, end-to-end services, downstream nodes, upstream nodes, low delays, FIFO+, inter-node coordination, CEDF, work-conserving CJVC, distributed theory, traffic envelopes, statistical admission control, traffic distortion, efficient resource utilization, modified first-in-first-out, coordinated earliest-deadline-first, core-jitter virtual clock, delays, congestion control, queueing theory, packet switching, packet schedulers, telecommunication network routing, telecommunication traffic, telecommunication congestion control, telecommunication services, multi-hop networks, network routers, end-to-end performance
29Ishfaq Ahmad, Yu-Kwong Kwok A parallel approach for multiprocessor scheduling. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel approach, low complexity static scheduling, arbitrary network topologies, iPSC/860 hypercube, task graph size, communication-to-computation ratio, target system topology, scheduling, parallel algorithms, parallel algorithm, computational complexity, delays, message passing, multiprocessing systems, network topology, hypercube networks, multiprocessor scheduling, communication delays, task graph, message routing, parallel tasks, message-passing architectures, link contention
29Luis F. G. Sarmenta, Gill A. Pratt, Stephen A. Ward Rational clocking [digital systems design]. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF rational clocking, independently-clocked digital subsystems, finite probability, phase relationship, delays, delays, logic design, logic design, synchronisation, clocks, minimisation of switching nets, digital systems design, synchronization failure
29Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
29Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Functional clock schedule optimization. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths
29Qiang Tong, Ziyun Wang Compensate the Speech Recognition Delays for Accurate Speech-Based Cursor Position Control. Search on Bibsonomy HCI (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF navigation, delays, Speech recognition, mouse, cursor control
29Jianqin Wang, Qiankun Song Passivity Analysis of Neural Networks with Time-Varying Delays of Neutral Type. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Neutral type, Neural networks, Passivity, Time-varying delays
29Jinming Liang, Qiankun Song Global Passivity of Stochastic Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Passivity, Time-varying delays, Stochastic neural networks
29Long Wang 0001, Feng Xiao 0002 A new approach to consensus problems in discrete-time multiagent systems with time-delays. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communication topologies, multiagent system decomposition, time-delays, consensus problems
29Ali Mahmino, Jérôme Lacan, Christian Fraboul Enhancing Guaranteed Delays with Network Coding. Search on Bibsonomy Networking The full citation details ... 2007 DBLP  DOI  BibTeX  RDF worst-case delays, Network Coding, buffering, network calculus
29Evripidis Bampis, Alexander V. Kononov Bicriteria approximation algorithms for scheduling problems with communications delays. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, approximability, communications delays, multicriteria optimization
29Peter Brucker, Sigrid Knust, T. C. Edwin Cheng, Natalia V. Shakhlevich Complexity Results for Flow-Shop and Open-Shop Scheduling Problems with Transportation Delays. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF transportation delays, shop problems, scheduling, time-lags, complexity results
29Evripidis Bampis, Rodolphe Giroudeau, Alexander V. Kononov Scheduling Tasks with Small Communication Delays for Clusters of Processors. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, approximation algorithms, communication delays
29Platon A. Prokopiou, Spyros G. Tzafestas, William S. Harwin A Novel Scheme for Human-Friendly and Time-Delays Robust Neuropredictive Teleoperation. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF neuropredictive teleoperation, human arm model, time-delays compensation, hypothetical neural input/electromyograph prediction, enhanced Yokokohji-Yoshikawa scheme
29Sunan Huang 0001, Wei Ren 0009 Autonomous Intelligent Cruise Control with Actuator Delays. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF actuator delays, stability, autonomous control
29Ingrid Y. Bucher, Donald A. Calahan Models of Access Delays in Multiprocessor Memories. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiprocessor memories, interleaved common memory, multipleprocessors, access conflicts, pipelined accessoperations, performance evaluation, queueing theory, multiprocessing systems, memory architecture, random access, queuing model, access delays
27Milind Borkar, Volkan Cevher, James H. McClellan Decentralized State Initialization with Delay Compensation for Multi-modal Sensor Networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF decentralized processing, sensor networks, data fusion, Monte Carlo methods, initialization, multi-modal
27Luis Nero Alves, Luis Barbosa, E. A. L. Macedo, Rui L. Aguiar General model for delayed feedback and its application to transimpedance amplifier's bandwidth optimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ruchir Puri, David S. Kung 0001, Anthony D. Drumm Fast and accurate wire delay estimation for physical synthesis of large ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement driven synthesis, wire delay, estimation, integrated circuit design
27Srinivas Vutukury, J. J. Garcia-Luna-Aceves A Simple Approximation to Minimum-Delay Routing. Search on Bibsonomy SIGCOMM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Manish Sharma, Janak H. Patel Bounding Circuit Delay by Testing a Very Small Subset of Paths. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Linear relations between path delays, Basis path set, Delay fault testing
26Vishwani D. Agrawal Low-Power Design by Hazard Filtering. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hazard filtering, multiple transitions, hazard pulses, differential delay, low-power design, power consumption, CMOS logic circuits, CMOS circuit, logic gate, gate delays
26Yurong Liu, Zidong Wang, Jinling Liang, Xiaohui Liu 0001 Synchronization and State Estimation for Discrete-Time Complex Networks With Distributed Delays. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Huaguang Zhang, Zhanshan Wang, Derong Liu 0001 Robust Stability Analysis for Interval Cohen-Grossberg Neural Networks With Unknown Time-Varying Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yi Shen 0002, Jun Wang 0002 Noise-Induced Stabilization of the Recurrent Neural Networks With Mixed Time-Varying Delays and Markovian-Switching Parameters. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Michael Tunstall, Olivier Benoît Efficient Use of Random Delays in Embedded Software. Search on Bibsonomy WISTP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Smart card security, fault attack countermeasures, side channel attack countermeasures
26Michael V. Basin, Rodolfo Martinez-Zuniga, Edgar N. Sánchez Optimal Filtering for Linear Systems with Multiple State and Observation Delays. Search on Bibsonomy ICICIC (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stochastic time-delay system, Optimal filtering
26Lih Wen Koh, Oliver Diessel Functional Unit Chaining: A Runtime Adaptive Architecture for Reducing Bypass Delays. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Tao Xiang 0001, Xiaofeng Liao 0001, Jian Huang Global Attractivity of Cohen-Grossberg Model with Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jiankun Hu, J. Lin, L. Xie Robust Congestion Control for High Speed Data Networks with Uncertain Time-Variant Delays: an LMI Control Approach. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Fang-Xiang Wu, Anthony J. Kusalik, Wen-Jun Zhang 0001 A. Genetic Algorithm for Inferring Time Delays in Gene Regulatory Networks. Search on Bibsonomy CSB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Lixiong Li, Minrui Fei, Xiaobing Zhou Analysis on Network-Induced Delays in Networked Learning Based Control Systems. Search on Bibsonomy CIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Mihail L. Sichitiu, Peter H. Bauer, Kamal Premaratne The effect of uncertain time-variant delays in ATM networks with explicit rate feedback: a control theoretic approach. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Ramesh Johari, David Kim Hong Tan End-to-end congestion control for the internet: delays and stability. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Delayed systems, end-to-end congestion control, distributed systems
26David M. Halliday Temporal Coding in Neuronal Populations in the Presence of Axonal and Dendritic Conduction Time Delays. Search on Bibsonomy Emergent Neural Computational Architectures Based on Neuroscience The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Ivan Vaghi, Chris Greenhalgh, Steve Benford Coping with inconsistency due to network delays in collaborative virtual environments. Search on Bibsonomy VRST The full citation details ... 1999 DBLP  DOI  BibTeX  RDF perception of delay, distributed systems, user interfaces, consistency, CVEs, collaborative virtual environments, transparency, network delay
26Shangzhi Sun, David Hung-Chang Du, Hsi-Chuan Chen Efficient timing analysis for CMOS circuits considering data dependent delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Rolf H. Möhring, Markus W. Schäffter, Andreas S. Schulz Scheduling Jobs with Communication Delays: Using Infeasible Solutions for Approximation (Extended Abstract). Search on Bibsonomy ESA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Andreas Jakoby, Rüdiger Reischuk The Complexity of Scheduling Problems with Communication Delays for Trees. Search on Bibsonomy SWAT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25Toby J. Teorey, Wee Teck Ng Dependability and Performance Measures for the Database Practitioner. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Database performance estimation, restart delays, reliability, dependability, response time, queuing delays, mean time to failure
25Marcel Lapointe, Huu Tuê Huynh, Paul Fortier Systematic Design of Pipelined Recursive Filters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF systematic design, pipelined recursive filters, multiplication algorithm, most significant digit first, pipelining delays, minimum hardware, minimum latency, number system radix, second-order all-pole filter, radix-4 representation, delays, digital arithmetic, pipeline processing, multiplier, digital filters
25Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija Delay Optimization of Carry-Skip Adders and Block Carry-Lookahead Adders Using Multidimensional Dynamic Programming. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF delay optimisation, block carry-lookahead adders, multidimensional dynamic programming, worst-case carry propagation delays, minimum latency, fanin, dynamic programming, digital arithmetic, adders, gate delays, carry logic, fanout, critical path delay, carry-skip adders
25James B. Sinclair Optimal Assignments in Broadcast Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF broadcast communication channels, computer networks distributed programs, total execution cost, processor costs, amount of data transmitted, average transmission delays, critical delay, optimal assignments, tree-structured calls graphs, minimum channel utilization, compact graphical representation, minimum transmission costs, data communication systems, dynamic programming, dynamic programming, graph theory, delays, distributed processing, computer networks, multiprocessor interconnection networks, communication costs, broadcast networks
25Clyde P. Kruskal, Marc Snir, Alan Weiss The Distribution of Waiting Times in Clocked Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF buffered interconnection networks, clocked multistage interconnection networks, random delay, multistage packet-switching banyan network, total delay distribution, spatial steady state, performance evaluation, delays, delays, multiprocessor interconnection networks, queueing theory, queueing theory, buffer storage, waiting times, formulas, conjectures, waiting times distribution
25Yonggang Chen, Wenlin Li, Weiping Bi Improved Results on Passivity Analysis of Uncertain Neural Networks with Time-Varying Discrete and Distributed Delays. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Passivity analysis, Uncertain neural networks, Time-varying discrete and distributed delays, Linear matrix inequalities (LMIs)
25Jin-Liang Shao, Ting-Zhu Huang, Sheng Zhou Global Asymptotic Robust Stability and Global Exponential Robust Stability of Neural Networks with Time-Varying Delays. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Global robust stability, Neural networks, Time-varying delays
25Lianwen Wang Approximate controllability results of semilinear integrodifferential equations with infinite delays. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF approximate controllability, semilinear control systems, integrodifferential equations, infinite delays
25Hongyong Yang, Guangdeng Zong Adjustable Consensus of Mobile Agent Systems with Heterogeneous Delays. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous communication delays, weighted average consensus, Mobile agent system, adjustable
25Jianting Zhou, Qiankun Song, Jianxi Yang Impulsive Exponential Synchronization of Coupled Fuzzy Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Exponential synchronization, Fuzzy neural networks, Time-varying delays, Impulsive
25Jinhua Huang, Jiqing Liu Passivity Analysis of a General Form of Recurrent Neural Network with Multiple Delays. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multiple delays, Passivity, Activation function
25Chunxue Wu, Bao Shi Existence and Stability of Periodic Solutions for BAM Neural Networks with Time-Varying Delays and Impulses. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BAM neural networks, coincidence degree, delays, periodic solutions, impulse
25Anhua Wan, Weihua Mao Criteria for Exponential Stability of Cohen-Grossberg Neural Networks with Multiple Time-Varying Delays. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cohen-Grossberg neural networks, multiple time-varying delays, exponential stability
25Marieke Rohde, Ezequiel A. Di Paolo Adaptation to Sensory Delays. Search on Bibsonomy ECAL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sensory Delays, Sensorimotor Adaptation, Evolutionary Robotics
25Oualid Jouini, Yves Dallery Predicting queueing delays for multiclass call centers. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF predicting delays, telephone call centers, stochastic models, transient analysis
25Qiang Zhang 0008, Xiaopeng Wei, Jin Xu Global Asymptotic Stability Analysis of Neural Networks with Time-Varying Delays. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Lyapunov-Razumikhin technique, time-varying delays, global asymptotic stability
Displaying result #1 - #100 of 14022 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license