The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase integer-linear-programming (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1978 (15) 1980-1991 (17) 1992-1994 (19) 1995 (19) 1996 (20) 1997 (22) 1998-1999 (40) 2000 (30) 2001 (32) 2002 (53) 2003 (54) 2004 (67) 2005 (94) 2006 (143) 2007 (135) 2008 (144) 2009 (105) 2010 (33) 2011 (28) 2012 (36) 2013 (38) 2014 (41) 2015 (44) 2016 (49) 2017 (57) 2018 (80) 2019 (81) 2020 (74) 2021 (65) 2022 (72) 2023 (74) 2024 (23)
Publication types (Num. hits)
article(823) book(1) incollection(16) inproceedings(947) phdthesis(17)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1171 occurrences of 646 keywords

Results
Found 1804 publication records. Showing 1804 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
54Wen-Tsong Shiue High Level Synthesis for Peak Power Minimization Using ILP. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Peak power minimization, latency-constrained scheduling, High-level synthesis, low power design, integer linear programming, force-directed scheduling
52Sébastien Lapierre, Ettore Merlo, Gilles Savard, Giuliano Antoniol, Roberto Fiutem, Paolo Tonella Automatic Unit Test Data Generation Using Mixed-Integer Linear Programming and Execution Trees. Search on Bibsonomy ICSM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF execution tree, extended path constraint (EPC), path infeasibility, symbolic execution, mixed-integer linear programming, Automatic test data generation
51Zhixiang Yin, Jianzhong Cui, Jin Yang A Surface-Based DNA Computing for the Positive Integer Linear Programming Problem. Search on Bibsonomy ICIC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0-1 Programming Problem, Integer linear programming, DNA Computing, NP-Complete Problem
50Jun Xia, Li Luo, Xuejun Yang A 0-1 Integer Linear Programming Based Approach for Global Locality Optimizations. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler optimizations, integer linear programming, loop transformations, data transformations, Cache locality, memory layouts
43Lu Zhang 0023, Shan-Shan Hou, Chao Guo, Tao Xie 0001, Hong Mei 0001 Time-aware test-case prioritization using integer linear programming. Search on Bibsonomy ISSTA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integer linear programming, test-case prioritization
43Srinath Sridhar 0001, Fumei Lam, Guy E. Blelloch, R. Ravi 0001, Russell Schwartz Mixed Integer Linear Programming for Maximum-Parsimony Phylogeny Inference. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Phylogenetic tree reconstruction, Algorithms, Integer Linear Programming, Computational Biology, Steiner tree problem, Maximum parsimony
43Napoleão Nepomuceno, Plácido Rogério Pinheiro, André L. V. Coelho Tackling the Container Loading Problem: A Hybrid Approach Based on Integer Linear Programming and Genetic Algorithms. Search on Bibsonomy EvoCOP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Container Loading, Genetic Algorithms, Combinatorial Optimization, Metaheuristics, Integer Linear Programming, Hybrid Methods
43Christodoulos A. Floudas, Xiaoxia Lin Mixed Integer Linear Programming in Process Scheduling: Modeling, Algorithms, and Applications. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF chemical process scheduling, continuous-time model, branch and bound, mixed-integer linear programming (MILP), discrete-time model
43Jing Li 0002, Tao Jiang 0001 An exact solution for finding minimum recombinant haplotype configurations on pedigrees with missing data by integer linear programming. Search on Bibsonomy RECOMB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF missing data imputation, pedigree analysis, integer linear programming, branch-and-bound algorithm, recombination, haplotyping
43Frank J. Iannarilli Jr., Paul A. Rubin Feature Selection for Multiclass Discrimination via Mixed-Integer Linear Programming. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF classification, Feature selection, branch-and-bound, discrimination, mixed-integer linear programming
43Mahmut T. Kandemir, Prithviraj Banerjee, Alok N. Choudhary, J. Ramanujam, Eduard Ayguadé Static and Dynamic Locality Optimizations Using Integer Linear Programming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache miss estimation, compiler optimizations, integer linear programming, Data reuse, cache locality, memory layouts
40Alain Billionnet Redundancy Allocation for Series-Parallel Systems Using Integer Linear Programming. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Zhixiang Yin, Jianzhong Cui, Jin Yang, Jin Xu DNA Computing Model of the Integer Linear Programming Problem Based on Molecular Beacon. Search on Bibsonomy ICIC (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Margarida Moz, Margarida Vaz Pato An Integer Multicommodity Flow Model Applied to the Rerostering of Nurse Schedules. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF employee scheduling, rerostering, integer multicommodity flow problems, integer linear programming
36Liying Yang 0002, Jianda Han, Chendong Wu, Yiyong Nie A solution of mixed integer linear programming for obstacle-avoided pursuit problem. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Bin Hu 0004, Markus Leitner, Günther R. Raidl Combining variable neighborhood search with integer linear programming for the generalized minimum spanning tree problem. Search on Bibsonomy J. Heuristics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Generalized minimum spanning tree, Dynamic programming, Integer linear programming, Variable neighborhood search
36Huy Nguyen Anh Pham, Arthur M. D. Shr, Peter P. Chen An Integer Linear Programming Approach for Dedicated Machine Constraint. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dedicated Machine Constraint, Photolithography, Integer Linear Programming, Semiconductor Manufacturing
36Jianhui Wu 0006, Edmund H. Durfee Mixed-integer linear programming for transition-independent decentralized MDPs. Search on Bibsonomy AAMAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transition-independent decentralized MDP, mixed integer linear programming, MDP, piecewise linear approximation
36David Shallcross, Victor Y. Pan, Yu Lin-Kriz The NC Equivalence of Planar Integer Linear Programming and Euclidean GCD Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF NC equivalence, planar integer linear programming, Euclidean GCD, NC-reduction, remainder sequence, positive integers, Euclidean algorithm, P-completeness
35Arnold Neumaier, Oleg Shcherbina Safe bounds in linear and mixed-integer linear programming. Search on Bibsonomy Math. Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF directed rounding, mixed-integer rounding, generalized Gomory cut, safe cuts, safe presolve, certificate of infeasibility, lower bounds, linear programming, mixed-integer programming, interval arithmetic, branch-and-cut, rounding errors
34Günther R. Raidl, Jakob Puchinger Combining (Integer) Linear Programming Techniques and Metaheuristics for Combinatorial Optimization. Search on Bibsonomy Hybrid Metaheuristics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Alexandru Turjan, Bart Kienhuis, Ed F. Deprettere Classifying interprocess communication in process network representation of nested-loop programs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hybrid classification approach, matrix manipulations, Static analysis, integer linear programming
34Kumar N. Lalgudi, Marios C. Papaefthymiou Efficient retiming under a general delay model. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays
33Lal George, Matthias Blume Taming the IXP network processor. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Intel IXA, bank assignment, programming languages, code generation, register allocation, integer linear programming, network processors
31Hervé Kerivin, Dritan Nace, Thi-Tuyet-Loan Pham Design of capacitated survivable networks with a single facility. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF rerouting strategies, network topology, dimensioning, mixed-integer linear programming, survivable networks
31Kumar N. Lalgudi, Marios C. Papaefthymiou, Miodrag Potkonjak Optimizing computations for effective block-processing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF computation dataflow graphs, scheduling, embedded systems, combinatorial optimization, high-level synthesis, vectorization, integer linear programming, retiming
31Teruo Higashino, Gregor von Bochmann Automatic Analysis and Test Case Derivation for a Restricted Class of LOTOS Expressions with Data Parameters. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF test case derivation, LOTOS expressions, data parameters, automatic analysis method, P-LOTOS expressions, Boolean types, integer linear programming problems, deadlock detection problem, nonexecutable branch detection, nondeterministic behavior detection, simplified Session protocol, formal specification, linear programming, concurrency control, integer programming, specification languages, specification language, comparison, decision procedure, data types, addition, test selection, Presburger arithmetic, subtraction, integer, data values
30Zoltán Ádám Mann, András Orbán, Péter Arató Finding optimal hardware/software partitions. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Branch-and-bound, Integer linear programming, Hardware/software partitioning, Hardware/software co-design
30Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang 0004 Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current reduction, two-phase fine-grain sleep transistor insertion, mixed integer linear programming
30Nikolaos F. Matsatsinis, Vassilios Chr Fortsas A multicriteria methodology for the assessment of distance education trainees. Search on Bibsonomy Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multiobjective Mixed Integer Linear Programming, Global Criterion Method, UTA*, Distance Education, Multicriteria Decision Analysis
30Roberto Aringhieri, Federico Malucelli Optimal Operations Management and Network Planning of a District Heating System with a Combined Heat and Power Plant. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF district heating, electrical power plant, network flows with temperatures, linear programming, case study, linearizations, mixed integer linear programming
30Stan Y. Liao, Srinivas Devadas Solving Covering Problems Using LPR-Based Lower Bounds. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF integer linear programming, Covering problems
30Paulo F. Flores, Horácio C. Neto, João P. Marques Silva An exact solution to the minimum size test pattern problem. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP)
30Hyuk-Jae Lee, José A. B. Fortes Automatic generation of injective modular mappings. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF injective modular mappings, systolic array design, loop iteration spaces, rectangular index sets, program index set, modular mappings, bounded search scheme, complexity, linear programming, integer linear programming, parallelizing compilers, optimizing compilers, linear transformations, automatic generation
30H.-C. Yen Integer Linear Programming and the Analysis of Some Petri Net Problems. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Mohit Tawarmalani, Nikolaos V. Sahinidis Global optimization of mixed-integer nonlinear programs: A theoretical and computational study. Search on Bibsonomy Math. Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Brahim Hnich, Zeynep Kiziltan, Ian Miguel, Toby Walsh Hybrid Modelling for Robust Solving. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modelling, integration, application, constraint programming, integer linear programming
29Premysl Sucha, Zdenek Hanzálek, Antonin Hermanek, Jan Schier Scheduling of Iterative Algorithms with Matrix Operations for Efficient FPGA Design - Implementation of Finite Interval Constant Modulus Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF imperfectly nested loops, FPGA, high-level synthesis, implementation, integer linear programming, VLSI design, iterative algorithms, cyclic scheduling, blind equalization
29Michèle Dion, Tanguy Risset, Yves Robert Resource-constrained scheduling of partitioned algorithms on processor arrays. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF physical processor arrays, communication capabilities, complex optimization problem, single integer linear programming problem, scheduling, computational complexity, complexity, linear programming, mapping, optimisation, processor arrays, partitioned algorithms, communication links, resource-constrained scheduling, optimal scheduling algorithms, linear processor arrays
27Alexandre S. Freire, Eduardo Moreno 0001, Juan Pablo Vielma An integer linear programming approach for bilinear integer programming. Search on Bibsonomy Oper. Res. Lett. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Dan Roth, Wen-tau Yih Integer linear programming inference for conditional random fields. Search on Bibsonomy ICML The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Xiaoxia Wu, Paul Falkenstern, Krishnendu Chakrabarty, Yuan Xie 0001 Scan-chain design and optimization for three-dimensional integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan-chain design, genetic algorithm, integer linear programming, randomized rounding, LP relaxation, 3D ICs
27Minsik Cho, Katrina Lu, Kun Yuan, David Z. Pan BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, physical design, congestion, integer linear programming, global routing, routability, layer assignment
27Suraj Kumar Jaiswal, Aura Ganz, Ramgopal R. Mettu An Optimization Framework for Demand-based Fair Stream Allocation in MIMO Ad Hoc Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiuser communication, scheduling, ad hoc networks, integer linear programming, multiple input multiple output
27Kun Yuan, Jae-Seok Yang, David Z. Pan Double patterning layout decomposition for simultaneous conflict and stitch minimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, layout decomposition, integer linear programming
27Meikang Qiu, Lei Zhang 0194, Edwin Hsing-Mean Sha ILP optimal scheduling for multi-module memory. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variable assignment, integer linear programming, energy saving, instruction scheduling
27Asma Kahoul, George A. Constantinides, Alastair M. Smith, Peter Y. K. Cheung Heterogeneous Architecture Exploration: Analysis vs. Parameter Sweep. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable architectures, Floorplanning, integer linear programming (ILP)
27Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
27Lihong Shi, Houming Fan, Pingquan Gao, Hanyu Zhang Network Model and Optimization of Medical Waste Reverse Logistics by Improved Genetic Algorithm. Search on Bibsonomy ISICA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF medical waste, reverse logistics network, genetic algorithm, mixed integer linear programming
27Duo Ding, Yilin Zhang, Haiyu Huang, Ray T. Chen, David Z. Pan O-Router: an optical routing framework for low power on-chip silicon nano-photonic integration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power nanophotonic integration, optical routing, integer linear programming
27Haris Javaid, Sri Parameswaran A design flow for application specific heterogeneous pipelined multiprocessor systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design space exploration, integer linear programming, MPSoCs
27Philip Doganis, Haralambos Sarimveis Optimal production scheduling for the dairy industry. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Yogurt, Scheduling, Optimization, Parallel machines, Mixed-integer linear programming, Packaging
27Maulin Patel, Ramaswamy Chandrasekaran, Subbarayan Venkatesan Improved quasi-path restoration in mesh networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF link restoration, path restoration, quasi-path restoration, selfhealing networks, spare capacity allocation, integer linear programming, network survivability
27Meng-Chiou Wu, Rung-Bin Lin, Shih-Cheng Tsai Chip placement in a reticle for multiple-project wafer fabrication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiple-project wafers (MPW), compatibility graph, reticle floorplanning, shuttle mask, wafer dicing, simulated annealing (SA), set cover, mixed-integer linear programming (MILP), conflict graph, set partition
27Leigh E. Hodge, Sasthi C. Ghosh 0001, Steve Hurley, Roger M. Whitaker, Stuart M. Allen Coverage and service bounds for UMTS. Search on Bibsonomy Mobility Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, tabu search, integer linear programming
27Markus Leitner, Günther R. Raidl Variable Neighborhood Search for a Prize Collecting Capacity Constrained Connected Facility Location Problem. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Design, Integer Linear Programming, Variable Neighborhood Search, Connected Facility Location
27Haris Javaid, Sri Parameswaran Synthesis of heterogeneous pipelined multiprocessor systems using ILP: jpeg case study. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design space exploration, integer linear programming, MPSoCs
27Xiaojun Cao, Vishal Anand 0001, Chunming Qiao Waveband switching for dynamic traffic demands in multigranular optical networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multigranular (MG), optical cross-connects, waveband switching, wavelength division multiplexing (WDM), integer linear programming (ILP)
27Fadi A. Aloul, Arathi Ramani, Karem A. Sakallah, Igor L. Markov Solution and Optimization of Systems of Pseudo-Boolean Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pseudo Boolean (PB), Max-ONE, Global Routing, Conjunctive Normal Form (CNF), Backtrack Search, Integer Linear Programming (ILP), Max-SAT, Boolean Satisfiability (SAT)
27Smita Krishnaswamy, Igor L. Markov, John P. Hayes Tracking Uncertainty with Probabilistic Logic Circuit Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF probabilistic faults, logic circuit testing, fault-modeling framework, test-vector sensitivity, integer linear programming
27Jianhua Liu, Yi Zhu 0002, Haikun Zhu, Chung-Kuan Cheng, John Lillis Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum prefix adders, static power consumptions, dynamic power consumptions, high-level synthesis, integer linear programming, buffer insertion, gate sizing, power models, ASIC designs, parallel prefix adder, binary adder
27Haikun Zhu, Yi Zhu 0002, Chung-Kuan Cheng, David M. Harris An Interconnect-Centric Approach to Cyclic Shifter Design Using Fanout Splitting and Cell Order Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 64 bit, interconnect-centric approach, fanout splitting, cell order optimization, logarithmic cyclic shifter design, demultiplexers, shifting path, nonshifting paths, accumulated wire load, switching probabilities, integer linear programming
27Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
27C. Li, J. M. van den Akker, Sjaak Brinkkemper, Guido Diepen Integrated Requirement Selection and Scheduling for the Release Planning of a Software Product. Search on Bibsonomy REFSQ The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Requirement Selection, Requirement Scheduling, Simulation, Release Planning, Integer Linear Programming (ILP)
27Wei-Ting Yen, Shih-Hsu Huang, Chun-Hua Cheng Simultaneous Operation Scheduling and Operation Delay Selection to Minimize Cycle-by-Cycle Power Differential. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cycle-by-cycle Power Differential, Low Power, High-Level Synthesis, Integer Linear Programming, Operation Scheduling, Data-Path Synthesis
27B. C. Rajeshkumar, T. RameshBabu Evaluation of logistics related policies between two different levels of the supply chain network - a case study. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Supply chain network, Inventory routing problem (IRP), Logistics, Mixed integer linear programming (MILP), Automobile industry
27Song Guo 0001, Oliver W. W. Yang Minimum-Energy Multicast in Wireless Ad Hoc Networks with Adaptive Antennas: MILP Formulations and Heuristic Algorithms. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF minimum-energy routing, multicast algorithm, adaptive antenna, Wireless ad hoc networks, mixed integer linear programming, tree construction
27Daniel Lichtblau Making Change and Finding Repfigits: Balancing a Knapsack. Search on Bibsonomy ICMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Frobenius instance solving, change-making problem, Frobenius numbers, Keith numbers, repfigits, integer linear programming, lattice reduction
27Wassim El-Hajj, Dionysios Kountanis, Ala I. Al-Fuqaha, Hani Harbi Optimal hierarchical energy efficient design for MANETs. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobile wireless ad-hoc network, energy efficiency, integer linear programming, hierarchical design
27Ozcan Ozturk 0001, Mahmut T. Kandemir, Suleyman Tosun An ILP based approach to address code generation for digital signal processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code generation, DSP, integer linear programming
27Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen, Ozcan Ozturk 0001, Mustafa Karaköy, Ugur Sezer Optimizing Array-Intensive Applications for On-Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF On-chip multiprocessor, adaptive loop parallelization, embedded systems, energy consumption, integer linear programming, constrained optimization
27Alain Darte, Guillaume Huard New Complexity Results on Array Contraction and Related Problems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF array contraction, NP-completeness, integer linear programming, code optimization, memory reduction
27Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer An automated exploration framework for FPGA-based soft multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming
27Pin-Han Ho, János Tapolcai, Tibor Cinkler Segment shared protection in mesh communications networks with bandwidth guaranteed tunnels. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF survivable routing, working and protection paths, integer linear programming (ILP), shared risk link group (SRLG), segment shared protection (SSP)
27Mayur Naik, Jens Palsberg Compiling with code-size constraints. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Banked architecture, register allocation, integer linear programming, space optimization
27Bart De Vleeschauwer, Filip De Turck, Bart Dhoedt, Piet Demeester On the Construction of QoS Enabled Overlay Networks. Search on Bibsonomy QofIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QoS, Overlay Network, Integer Linear Programming, Server Placement
27Alexandru Turjan, Bart Kienhuis, Ed F. Deprettere Translating affine nested-loop programs to process networks. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF integer linear programming, process networks, heterogeneous embedded systems
27Premysl Sucha, Zdenek Pohl, Zdenek Hanzálek Scheduling of Iterative Algorithms on FPGA with Pipelined Arithmetic Unit. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF monoprocessor, FPGA, integer linear programming, iterative algorithms, Cyclic scheduling
27Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
27Isabel Correia 0001, M. Eugénia V. Captivo A Lagrangean Heuristic for a Modular Capacitated Location Problem. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitated location, Lagrangean heuristic, mixed integer linear programming
27Yang Yu 0009, Viktor K. Prasanna Energy-balanced task allocation for collaborative processing in networked embedded systems. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF integer linear programming, task allocation, networked embedded systems, energy-balanced
27V. Krishna Nandivada, Jens Palsberg Efficient spill code for SDRAM. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, integer linear programming, SDRAM, memory layout
27Feng Gao 0017, John P. Hayes ILP-based optimization of sequential circuits for low power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, finite-state machine, decomposition, integer linear programming
27Krishnendu Chakrabarty, S. Sitharama Iyengar, Hairong Qi 0001, Eungchun Cho Grid Coverage for Surveillance and Target Location in Distributed Sensor Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Covering codes, identifying codes, sensor density, sensor field, optimization, integer linear programming
27Mayur Naik, Jens Palsberg Compiling with code-size constraints. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF banked architecture, register allocation, integer linear programming, space optimization
27Sven Oliver Krumke, Madhav V. Marathe, Diana Poensgen, S. S. Ravi, Hans-Christoph Wirth Budgeted Maximum Graph Coverage. Search on Bibsonomy WG The full citation details ... 2002 DBLP  DOI  BibTeX  RDF budgeted maximum coverage, approximation algorithm, integer linear programming, treewidth, maximum weight matching
27Farinaz Koushanfar, Jennifer L. Wong, Jessica Feng, Miodrag Potkonjak ILP-based engineering change. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF engineering change, satisfiability(SAT), synthesis, integer linear programming
27Benjamin Doerr Structured Randomized Rounding and Coloring. Search on Bibsonomy FCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hypergraph coloring, randomized algorithms, integer linear programming, discrepancy, randomized rounding
27Avaneendra Gupta, John P. Hayes CLIP: integer-programming-based optimal layout synthesis of 2D CMOS cells. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS networks, circuit clustering, diffusion sharing, leaf cell synthesis, transistor chains, two-dimensional layout, integer programming, integer linear programming, layout optimization, module generation
27Wen-Jer Wu, Chuan Yi Tang Memory test time reduction by interconnecting test items. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory test time reduction, test items interconnection, initialization sequences, verification sequences, signal settling time, interconnection problem, rural Chinese postman problem, integer linear programming model, successive ILP models, graph theory, constraints, linear programming, integrated circuit testing, integer programming, iterations, NP-hard problem, integrated memory circuits
27Hiroyuki Tomiyama, Hiroto Yasuura Code placement techniques for cache miss rate reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF integer linear programming, instruction cache, code placement
27Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
27Markus Schwiegershausen, Peter Pirsch A system level design methodology for the optimization of heterogeneous multiprocessors. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parametrizable processor modules, programmable processors, system level design methodology, optimization, real-time systems, image processing, linear programming, optimisation, integer programming, multiprocessing systems, heterogeneous systems, mixed integer linear programming, CAD tool, image processing algorithms, heterogeneous multiprocessors, mathematical framework
27Amit Chowdhary, John P. Hayes Technology mapping for field-programmable gate arrays using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Field-programmable gate arrays (FPGAs), technology mapping, mixed integer linear programming (MILP), lookup tables, circuit partitioning
27Kala Srivatsan, Chaitali Chakrabarti, Lori Lucke Low power data format converter design using semi-static register allocation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF code convertors, low power data format converter design, semi-static register allocation, processing modules, VLSI, linear programming, integer programming, signal processing, digital signal processing, power consumption, integer linear programming, heuristic programming, heuristic programming, VLSI implementations
27Douglas W. Cornell, Philip S. Yu An Effective Approach to Vertical Partitioning for Physical Design of Relational Databases. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF two-step methodology, binary partitioning, sort-merge, segment scan, cluster index scan, software engineering, relational databases, relational databases, linear programming, physical design, integer linear programming, join, vertical partitioning, query analysis, disk accesses
27Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF I/O placement, I/O standards, field-programmable gate array, placement
26Mohamed El-Amine Chergui, Mustapha Moulaï, Fatma Zohra Ouaïl Solving the Multiple Objective Integer Linear Programming Problem. Search on Bibsonomy MCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Héctor Navarro, Saeid Nooshabadi, Juan A. Montiel-Nelson, Victor Navarro-Botello, Javier Sosa, José C. García 0001 A geometric approach to register transfer level satisfiability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Ted K. Ralphs, Matthew V. Galati Decomposition and Dynamic Cut Generation in Integer Linear Programming. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Integer Programming, Lagrangian Relaxation, Branch and Cut, Decomposition Algorithms, Branch and Price, Dantzig-Wolfe Decomposition
Displaying result #1 - #100 of 1804 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license