The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase low-leakage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2002 (21) 2003 (18) 2004 (15) 2005 (25) 2006 (33) 2007 (25) 2008 (23) 2009 (17) 2010 (16) 2011-2012 (31) 2013-2014 (30) 2015-2016 (32) 2017 (18) 2018-2019 (30) 2020-2021 (18) 2022-2024 (17)
Publication types (Num. hits)
article(124) inproceedings(244) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 114 occurrences of 71 keywords

Results
Found 369 publication records. Showing 369 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
178David T. Blaauw, Anirudh Devgan, Farid N. Najm Leakage power: trends, analysis and avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
111Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
87Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
76Jason Helge Anderson, Farid N. Najm Active leakage power optimization for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Jaw-Wei Chi, Chia-Lin Yang, Yi-Jung Chen, Jian-Jia Chen Cache leakage control mechanism for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache leakage control policy, hard real-time system
64Kamal S. Khouri, Niraj K. Jha Leakage power analysis and reduction during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Shengqi Yang, Wayne H. Wolf, Wenping Wang, Narayanan Vijaykrishnan, Yuan Xie 0001 Low-leakage robust SRAM cell design for sub-100nm technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
59Min Ni, Seda Ogrenci Memik Thermal-induced leakage power optimization by redundant resource allocation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Chandramouli Gopalakrishnan, Srinivas Katkoori Behavioral synthesis of datapaths with low leakage power. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Jun-Cheol Park, Vincent John Mooney III Sleepy Stack Leakage Reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Micah G. O'Halloran, Rahul Sarpeshkar An analog storage cell with 5e-/sec leakage. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim A low power SoC bus with low-leakage and low-swing technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
45Volkan Kursun, Zhiyu Liu Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device and architecture considerations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forward body-biasing, super high VT, SRAM, leakage power
45David Scott, Shaoping Tang, Song Zhao, Mahalingam Nandakumar Device Physics Impact on Low Leakage, High Speed DSP Design Techniques (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby, GIDL, leakage, tunneling, subthreshold, current
44Jun Seomun, Jae-Hyun Kim, Youngsoo Shin Skewed Flip-Flop and Mixed-Vt Gates for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Wei Zhang 0002, Bramha Allu Reducing branch predictor leakage energy by exploiting loops. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compiler, Branch prediction, leakage energy
44Wei Zhang 0002, Bramha Allu Loop-based leakage control for branch predictors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, branch prediction, leakage energy
42Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Zhiyu Liu, Volkan Kursun Leakage Biased Sleep Switch Domino Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, Domino logic, subthreshold leakage current, dual threshold voltage
39Nasir Mohyuddin, Rashed Zafar Bhatti, Michel Dubois 0001 Controlling leakage power with the replacement policy in slumberous caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF tranquility level, leakage power, replacement policy, drowsy cache
39Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Shilpa Bhoj, Dinesh Bhatia Early stage FPGA interconnect leakage power estimation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
38Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Chengyi Zhang, Hongwei Zhou, Minxuan Zhang, Zuocheng Xing An Architectural Leakage Power Reduction Method for Instruction Cache in Ultra Deep Submicron Microprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodically Drowsy Speculative Recover, Adaptive, Leakage Power, Drowsy cache
38Jun-Cheol Park, Vincent John Mooney III Pareto Points in SRAM Design Using the Sleepy Stack Approach. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Jun-Cheol Park, Vincent John Mooney III, Philipp Pfeiffenberger Sleepy Stack Reduction of Leakage Power. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Mohan G. Kabadi, Ranjani Parthasarathi Live-Cache: Exploiting Data Redundancy to Reduce Leakage Energy in a Cache Subsystem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Steve Dropsho, Volkan Kursun, David H. Albonesi, Sandhya Dwarkadas, Eby G. Friedman Managing static leakage energy in microprocessor functional units. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Zhiyu Liu, Volkan Kursun PMOS-Only Sleep Switch Dual-Threshold Voltage Domino Logic in Sub-65-nm CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Chandramouli Gopalakrishnan, Srinivas Katkoori Tabu Search Based Behavioral Synthesis of Low Leakage Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Vikas Kaushal, Quentin Diduck, Martin Margala Study of leakage current mechanisms in ballistic deflection transistors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ballistic transport, current leakage mechanism, deflection transistors, silvaco simulation, geometry, monte carlo simulation
33Behnam Amelifard, Farzan Fallah, Massoud Pedram Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power
33Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
33A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Tadayoshi Enomoto, Yuki Higuchi A low-leakage current power 180-nm CMOS SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Behnam Amelifard, Massoud Pedram, Farzan Fallah Low-leakage SRAM Design with Dual V_t Transistors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Chandramouli Gopalakrishnan, Srinivas Katkoori KnapBind: An Area-Efficient Binding Algorithm for Low-leakage Datapaths. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Lei Cheng 0001, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Input vector control, gate replacement, leakage reduction
32Yousra Alkabani, Tammara Massey, Farinaz Koushanfar, Miodrag Potkonjak Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF input vector control, low power, manufacturing variability
32Saraju P. Mohanty, Ramakrishna Velagapudi, Elias Kougianos Dual-K Versus Dual-T Technique for Gate Leakage Reduction : A Comparative Perspective. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Lei Cheng 0001, Liang Deng, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate replacement, input vector control, leakage reduction
32Mark C. Johnson, Dinesh Somasekhar, Lih-Yih Chiou, Kaushik Roy 0001 Leakage control with efficient use of transistor stacks in single threshold CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
32Navid Azizi, Andreas Moshovos, Farid N. Najm Low-leakage asymmetric-cell SRAM. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-leakage, low-power, SRAM, dual-Vt
31Rajesh Garg, Gagandeep Mallarapu, Sunil P. Khatri A Single-supply True Voltage Level Shifter. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Ehsan Pakbaznia, Massoud Pedram Design and application of multimodal power gating structures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Techniques for Leakage Energy Reduction in Deep Submicrometer Cache Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Ding-Ming Kwai, Ching-Hua Hsiao, Chung-Ping Kuo, Chi-Hsien Chuang, Min-Chung Hsu, Yi-Chun Chen, Yu-Ling Sung, Hsien-Yu Pan, Chia-Hsin Lee, Meng-Fan Chang, Yung-Fa Chou SRAM Cell Current in Low Leakage Design. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey SRAM Leakage Suppression by Minimizing Standby Supply Voltage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Chandra S. Nagarajan, Lin Yuan, Gang Qu 0001, Barbara G. Stamps Leakage optimization using transistor-level dual threshold voltage cell library. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Junpei Zushi, Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada, Koji Inoue Improved Policies for Drowsy Caches in Embedded Processors. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Power Cache Design, Leakage Energy, Drowsy Cache
27Olga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii Architectural leakage-aware management of partitioned scratchpad memories. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FD/SOI, low-power, stability, SRAM
27Maryam Ashouei, Muhammad Mudassar Nisar, Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril Probabilistic Self-Adaptation of Nanoscale CMOS Circuits: Yield Maximization under Increased Intra-Die Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Behnam Amelifard, Farzan Fallah, Massoud Pedram Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mahmut T. Kandemir, Mary Jane Irwin, Guangyu Chen, Ibrahim Kolcu Compiler-guided leakage optimization for banked scratch-pad memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Reducing instruction cache energy consumption using a compiler-based strategy. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler optimizations, Leakage power, cache design
27Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Compiler-directed instruction cache leakage optimization. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Rahul M. Rao, Frank Liu 0001, Jeffrey L. Burns, Richard B. Brown A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Anish Muttreja, Niket Agarwal, Niraj K. Jha CMOS logic design with independent-gate FinFETs. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Volkan Kursun, Sherif A. Tawfik, Zhiyu Liu Leakage-Aware Design of Nanometer SoC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Frank Sill, Frank Grassert, Dirk Timmermann Low power gate-level design with mixed-Vth (MVT) techniques. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
24Yan Lin 0001, Fei Li 0003, Lei He 0001 Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Keunwoo Kim, Koushik K. Das, Rajiv V. Joshi, Ching-Te Chuang Nanoscale CMOS circuit leakage power reduction by double-gate device. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF double-gate device, short-channel effect, leakage power
22Liqiong Wei, Kaushik Roy 0001, Vivek De Low Voltage Low Power CMOS Design Techniques for Deep Submicron ICs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low voltage low power, multiple threshold voltages, multiple supply voltages and leakage control
22Deepak Mittal SRAM Cell Leakage Reduction Methodologies for Low Leakage Cache Memories. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Corentin Pochet, Haowei Jiang, Drew A. Hall Ultra-Low Leakage ESD Protection Achieving 10.5 fA Leakage. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Behnam Samadpoor Rikan, Hamed Abbasizadeh, Thi Kim Nga Truong, Sung Jin Kim, Kang-Yoon Lee A low leakage retention LDO and leakage-based BGR with 120nA quiescent current. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Weiqiang Zhang, Li Su, Yu Zhang, Linfeng Li, Jianping Hu Low-Leakage Flip-Flops Based on Dual-Threshold and Multiple Leakage Reduction Techniques. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Kawori Takakubo, Toru Eto, Hajime Takakubo Analysis and Modeling of Leakage Current for Four-Terminal MOSFET in Off-State and Low Leakage Switches. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Masanao Yamaoka, Yoshihiro Shinozaki, Noriaki Maeda, Yasuhisa Shimazaki, Kei Kato, Shigeru Shimada, Kazumasa Yanagisawa, Kenichi Osada A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Amit Agarwal 0001, Kaushik Roy 0001, Ram K. Krishnamurthy A leakage-tolerant low-leakage register file with conditional sleep transistor. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sayeed A. Badrudduza, Giby Samson, Lawrence T. Clark LCSRAM: A Leakage Controlled Six-transistor Static Random Access Memory Cell with Intrinsically High Read Stability. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mahmut T. Kandemir, Mary Jane Irwin, Guilin Chen, Ibrahim Kolcu Banked scratch-pad memory management for reducing leakage energy consumption. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Krisztián Flautner, Nam Sung Kim, Steven M. Martin, David T. Blaauw, Trevor N. Mudge Drowsy Caches: Simple Techniques for Reducing Leakage Power. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Marco Bucci, Raimondo Luzzi, Santos Torres Vargas A Low Leakage Non-Volatile Memory Voltage Pulse Generator for RFID Applications. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Juan M. Cebrian, Juan L. Aragón, José M. García 0001 Leakage Energy Reduction in Value Predictors through Static Decay. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Deblina Sarkar, Samiran Ganguly, Deepanjan Datta, A. Ananda Prasad Sarab, Sudeb Dasgupta Modeling of Leakages in Nano-Scale DG MOSFET to Implement Low Power SRAM: A Device/Circuit Co-Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Leakage energy reduction techniques in deep submicron cache memories: a comparative study. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino STV-Cache: a leakage energy-efficient architecture for data caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture, caches, leakage power
20Karthik Sankaranarayanan, Kevin Skadron Profile-based adaptation for cache decay. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Adaptation, leakage power, interval, cache decay
20Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static energy reduction techniques for microprocessor caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Qi Wang, Sarma B. K. Vrudhula An Investigation of Power Delay Tradeoffs for Dual Vt CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low power, CMOS circuits, dual Vt
20Chien-Tung Liu, Zhe-Wei Chang, Shih-Nung Wei, Jinn-Shyan Wang, Tay-Jyi Lin A Low-Area, Low-Power, and Low-Leakage Error-Detecting Latch for Timing-Error Resilient System Designs. Search on Bibsonomy SoCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Amit Agarwal 0001, Kaushik Roy 0001 A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diode, low leakage cache, SRAM, gate leakage
19Amit Agarwal 0001, Hai Li, Kaushik Roy 0001 DRG-cache: a data retention gated-ground cache for low power. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gated-ground, low leakage cache, SRAM
16Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware power gating for concurrent leakage and aging optimization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aging, leakage, power-gating, nbti
16David Fitrio, Aleksandar Stojcevski, Jugdutt Singh Ultra Low Power Weak Inversion Current Steered Digital to Analog Converter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16A. Madan, S. C. Bose, P. J. George, Chandra Shekhar 0001 Evaluation of Device Parameters of HfO2/SiO2/Si Gate Dielectric Stack for MOSFETs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Direct Tunneling, gate leakage current, high-K gate stack, MOSFETs
16M. Elangovan, Kulbhushan Sharma, Ashish Sachdeva, Lipika Gupta Read Improved and Low Leakage Power CNTFET Based Hybrid 10t SRAM Cell for Low Power Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Ang Yuan, Huidong Zhao, Xiao Wang, Zhi Li, Shushan Qiao An Ultra-Low Leakage and Wide-Range Voltage Level Shifter for Low-Power Digital CMOS VLSIs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 369 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license