The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nanometer with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2000 (21) 2001-2002 (24) 2003 (51) 2004 (71) 2005 (112) 2006 (117) 2007 (135) 2008 (114) 2009 (66) 2010 (35) 2011 (48) 2012 (23) 2013 (24) 2014 (20) 2015-2016 (26) 2017-2018 (27) 2019-2020 (17) 2021-2022 (19) 2023-2024 (13)
Publication types (Num. hits)
article(262) book(4) incollection(15) inproceedings(660) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 563 occurrences of 332 keywords

Results
Found 963 publication records. Showing 963 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
85Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
63Janusz Rajski, Kan Thapar Nanometer Design: What are the Requirements for Manufacturing Test? Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Adit D. Singh Analysis and Optimization of Nanometer CMOS Circuits for Soft-Error Tolerance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Jeong-Taek Kong CAD for nanometer silicon design challenges and success. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Thomas W. Williams Testing in Nanometer Technologies. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
50Georgios Karakonstantis, Kaushik Roy 0001 Low-Power and Variation-Tolerant Application-Specific System Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Sachin S. Sapatnekar Statistical Design of Integrated Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Shreyas Sen, Vishwanath Natarajan, Abhijit Chatterjee Low-Power Adaptive Mixed Signal/RF Circuits and Systems and Self-Healing Solutions. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Swaroop Ghosh Effect of Variations and Variation Tolerance in Logic Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Benjamin Gojman, Nikil Mehta, Raphael Rubin, André DeHon Component-Specific Mapping for Low-Power Operation in the Presence of Variation and Aging. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Wei Zhang 0012, James Williamson, Li Shang Power Dissipation. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Aditya Bansal, Rahul M. Rao Variations: Sources and Characterization. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Nikil Mehta, André DeHon Low-Power Techniques for FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Bipul C. Paul, Arijit Raychowdhury Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Nikil Mehta, André DeHon Variation and Aging Tolerance in FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Hamid Mahmoodi Low-Power and Variation-Tolerant Memory Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
50Meeta Sharma Gupta, Pradip Bose Variation-Tolerant Microprocessor Architecture at Low Power. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
48Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
48Clive Bittlestone, Anthony M. Hill, Vipul Singhal, N. V. Arvind Architecting ASIC libraries and flows in nanometer era. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nanometer design, libraries, standard cell
44Joseph Sawicki Forging Tighter Connections Between Design and Manufacturing in the Nanometer Age. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Mehrdad Nourani, Arun Radhakrishnan Testing On-Die Process Variation in Nanometer VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer VLSI, ultra deep-submicron, fast Fourier transform, process variation, frequency domain, ring oscillator
39Kaushik Roy 0001, T. M. Mak, Kwang-Ting (Tim) Cheng Test Consideration for Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF deep-submicron test, delay test, statistical timing, nanometer technologies
35Georges G. E. Gielen Design tools and circuit solutions for degradation-resilient analog circuits in nanometer CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Dan Zhao 0001, Yi Wang 0007 MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer Systems-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Josep Carmona 0001, Jordi Cortadella, Yousuke Takada, Ferdinand Peper Formal methods for the analysis and synthesis of nanometer-scale cellular arrays. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF model checking, cellular array, Nanocomputing, symbolic techniques
35Massimo Alioto, Gaetano Palumbo, Melita Pennisi Understanding the Effect of Intradie Random Process Variations in Nanometer Domino Logic. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35David Atienza, Giovanni De Micheli, Luca Benini, José L. Ayala, Pablo García Del Valle, Michael DeBole, Vijaykrishnan Narayanan Reliability-aware design for nanometer-scale devices. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Chong Zhao, Xiaoliang Bai, Sujit Dey Evaluating Transient Error Effects in Digital Nanometer Circuits. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35N. Verghese, P. Hurat DFM reality in sub-nanometer IC design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EDA solutions, subnanometer IC design, catastrophic failures, systematic manufacturing variations, subnanometer manufacturing variations, DFM, design for manufacturing, parametric failures
35Georges G. E. Gielen Design tool solutions for mixed-signal/RF circuit design in CMOS nanometer technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Georges G. E. Gielen Future trends for wireless communication frontends in nanometer CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF frontends, wireless sensor networks, wireless communication, integrated circuits, reconfigurable hardware
35Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
35Chuen M. Tan, Masud H. Chowdhury Simultaneous Analysis of Capacitive Coupling and Leakage Noise in Nanometer Scale Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Gadi Singer, Philippe Magarshack, Dennis Buss, Fu-Chieh Hsu, Ho-Kyu Kang "The IC nanometer race -- what will it take to win?". Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware
35Krishnan Sundaresan, Nihar R. Mahapatra Accurate Energy Dissipation and Thermal Modeling for Nanometer-Scale Buses. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Vivek Chickermane, Brion L. Keller, Kevin McCauley, Anis Uzzaman Practical Aspects of Delay Testing for Nanometer Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Hiroyuki Nakamura, Akio Shirokane, Yoshihito Nishizaki, Anis Uzzaman, Vivek Chickermane, Brion L. Keller, Tsutomu Ube, Yoshihiko Terauchi Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Khalil Arshak, Stephen F. Gilmartin, Damian Collins, Olga Korostynska, Arous Arshak Patterning Nanometer Resist Features on Planar and Topography Substrates Using The 2-Step NERIME FIB Top Surface Imaging Process. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Sheng-Chih Lin, Navin Srivastava, Kaustav Banerjee A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jay Jahangiri, David Abercrombie Meeting Nanometer DPM Requirements Through DFT. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35E. Y. Chou, J. C. Huang, M. S. Huang, M. C. Hsieh, A. Y. Hsu Baud-rate channel equalization in nanometer technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Xiaoliang Bai, Rajit Chandra, Sujit Dey, P. V. Srinivas Interconnect coupling-aware driver modeling in static noise analysis for nanometer circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Cunkui Huang, Kumar Nandakumar, Daniel Y. Kwok Non-Equilibrium Injection Flow in a Nanometer Capillary Channel. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Brian Marshall Beyond P-Cell and Gate-Level: Accuracy Requirements for Simulation of Nanometer SoC Designs. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Robert W. Brodersen, Anthony M. Hill, John Kibarian, Desmond Kirkpatrick, Mark A. Lavin, Mitsumasa Koyanagi Nanometer design: what hurts next...? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
31Chun-Yi Lee, Hung-Mao Lin, Fang-Min Wang, James Chien-Mo Li Cyclic-CPRS : A Diagnosis Technique for BISTed Circuits for Nano-meter Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF systematic defects, cyclic-column parity row selection technique, built-in self tested circuits, cyclic scan chains, masking circuitry, transient errors, circuit under test, nanometer technologies
31Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
31Sachin S. Sapatnekar Book Reviews: Plumbing the Depths of Leakage. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer CMOS technology, leakage
31Steve Leibson, James Kim Configurable Processors: A New Era in Chip Design. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer silicon lithography, microprocessors, multiprocessor systems, MPSoCs, configurable processors
31Robert Madge New test paradigms for yield and manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer-era semiconductor, test paradigm, yield and manufacturability
31Chong Zhao, Yi Zhao, Sujit Dey Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF circuit hardening, nanometer circuits, robustness calibration, robustness insertion
31Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen Multilevel routing with antenna avoidance. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF nanometer, process antenna effect, routing, physical design, design for manufacturability (DFM), multilevel optimization
31Kyu-won Choi, Abhijit Chatterjee UDSM (ultra-deep sub-micron)-aware post-layout power optimization for ultra low-power CMOS VLSI. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF device and interconnect co-optimization, nanometer design, time slack distribution, low-power design
31Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
27Khawla Alzoubi, Daniel G. Saab, Massood Tabib-Azar Complementary nano-electromechanical switches for ultra-low power embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nems, cmos, switch, device, ultra-low power
27Sheng-Chih Lin, Kaustav Banerjee A Design-Specific and Thermally-Aware Methodology for Trading-Off Power and Performance in Leakage-Dominant CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Mini Nanua, David T. Blaauw Crosstalk Waveform Modeling Using Wave Fitting. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Feng Ge, Pranjal Jain, Ken Choi Ultra-low power and high speed design and implementation of AES and SHA1 hardware cores in 65 nanometer CMOS technology. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26David Bol, Dina Kamel, Denis Flandre, Jean-Didier Legat Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF short-channel effects, subthreshold logic, variability, cmos digital integrated circuits, ultra-low power, gate leakage
26Basab Datta, Wayne P. Burleson On temperature planarization effect of copper dummy fills in deep nanometer technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Shaoxi Wang, Rui He, Lihong Zhang MOSFET model assessment for submicron and nanometer bulk-driven applications. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ja Chun Ku, Yehea I. Ismail Area Optimization for Leakage Reduction and Thermal Stability in Nanometer-Scale Technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Georges G. E. Gielen, Pieter De Wit, Elie Maricau, Johan Loeckx, Javier Martín-Martínez, Ben Kaczer, Guido Groeseneken, Rosana Rodríguez, Montserrat Nafría Emerging Yield and Reliability Challenges in Nanometer CMOS Technologies. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26David Z. Pan Synergistic modeling and optimization for nanometer IC design/manufacturing integration. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturing
26Ashoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Adit D. Singh Scan Delay Testing of Nanometer SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Davide Pandini Statistical Static Timing Analysis: A New Approach to Deal with Increased Process Variability in Advanced Nanometer Technologies. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Hengliang Zhu, Xuan Zeng 0001, Wei Cai 0003, Jintao Xue, Dian Zhou A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26José Luis Rosselló, Carol de Benito, Sebastià A. Bota, Jaume Segura 0001 Dynamic critical resistance: a timing-based critical resistance model for statistical delay testing of nanometer ICs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Zhangcai Huang, Hong Yu 0013, Atsushi Kurokawa, Yasuaki Inoue Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jarrod A. Roy, Igor L. Markov High-performance routing at the nanometer scale. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ja Chun Ku, Yehea I. Ismail Attaining Thermal Integrity in Nanometer Chips. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Davide Pandini Innovative Design Platforms for Reliable SoCs in Advanced Nanometer Technologies. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ja Chun Ku, Yehea I. Ismail Area optimization for leakage reduction and thermal stability in nanometer scale technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kaustav Banerjee, Sheng-Chih Lin, Navin Srivastava Electrothermal engineering in the nanometer era: from devices and interconnects to circuits and systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Shanq-Jang Ruan, Edwin Naroska, Chun-Chih Chen Optimal partitioned fault-tolerant bus layout for reducing power in nanometer designs. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-tolerant, reliability, low power, coupling capacitance
26Massimo Alioto, Gaetano Palumbo Nanometer MCML gates: models and design considerations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001 Low V_D_D vs. Delay: Is it Really a Good Correlation Metric for Nanometer ICs?. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Fumio Sasaki, Satoshi Haraichi, Shunsuke Kobayashi Highly oriented molecular aggregates in 1-D photonic crystal slabs: toward the control of molecular arrangement from submicron to nanometer region. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici A Methodology for Reliability Enhancement of Nanometer-Scale Digital Systems Based on a-priori Functional Fault- Tolerance Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee Soft-Error Tolerance Analysis and Optimization of Nanometer Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Tetyana Segin, Jacob Masliyah, Subir Bhattacharjee Molecular Dynamics Simulation of the Rupture of Nanometer-Sized Oil/Water Interface. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De A Dual-Vt Layout Approach for Statistical Leakage Variability Minimization in Nanometer CMOS. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Navin Srivastava, Xiaoning Qi, Kaustav Banerjee Impact of On-chip Inductance on Power Distribution Network Design for Nanometer Scale Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Design of Adaptive Nanometer Digital Systems for Effective Control of Soft Error Tolerance. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alexandre Schmid, Yusuf Leblebici Robust circuit and system design methodologies for nanometer-scale devices and single-electron transistors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Hongliang Chang, Haifeng Qian, Sachin S. Sapatnekar The Certainty of Uncertainty: Randomness in Nanometer Design. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Alexandre Schmid, Yusuf Leblebici A Highly Fault Tolerant PLA Architecture for Failure-Prone Nanometer CMOS and Novel Quantum Device Technologies. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Sanjay Sengupta Test Strategies for Nanometer Technologies. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Brion L. Keller, Mick Tegethoff, Thomas Bartenstein, Vivek Chickermane An Economic Analysis and ROI Model for Nanometer Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Greg Aldrich 100 DPPM in Nanometer Technology - Is it achievable? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
26Shekhar Borkar, Tanay Karnik, Vivek De Design and reliability challenges in nanometer technologies. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF leakage tolerance, reliability, low-power, variability, soft errors, circuits, SEU, SER, variation tolerance
26Kaushik Roy 0001, T. M. Mak, Kwang-Ting Cheng Embedded Tutorial: Test Consideration for Nanometer Scale CMOS Circuits. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Philippe Magarshack, Pierre G. Paulin System-on-chip beyond the nanometer wall. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design automation tools, embedded software technologies, system-on-chip, network-on-chip, reconfigurable systems, multi-processor systems
26Dennis Sylvester, Himanshu Kaul Power-Driven Challenges in Nanometer Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 963 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license