The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-testing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1983 (16) 1984-1986 (17) 1987-1988 (16) 1989-1991 (18) 1992-1993 (17) 1994-1995 (18) 1996 (15) 1997-1998 (23) 1999-2000 (35) 2001-2002 (27) 2003 (20) 2004 (19) 2005 (21) 2006 (15) 2007 (18) 2008 (20) 2009-2010 (24) 2011-2013 (25) 2014-2016 (15) 2017-2018 (15) 2019-2021 (24) 2022-2023 (15) 2024 (2)
Publication types (Num. hits)
article(167) incollection(1) inproceedings(261) phdthesis(6)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 401 occurrences of 236 keywords

Results
Found 435 publication records. Showing 435 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
63Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, George Xenoulis Software-Based Self-Testing of Embedded Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor self-testing, Embedded processors, software-based self-testing, low-cost testing
50Matthew K. Franklin, Juan A. Garay, Moti Yung Self-Testing/Correcting Protocols (Extended Abstract). Search on Bibsonomy DISC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Stanislaw J. Piestrak Design Method of a Class of Embedded Combinational Self-Testing Checkers for Two-Rail Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded circuit, inverter-free circuit, totally self-testing circuit, concurrent error detection, Berger code, self-testing checker, two-rail code
36Dimitris Nikolos Self-Testing Embedded Two-Rail Checkers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parity tree, embedded self-testing, self testing, two-rail checker, parity checker
35Li Chen, Sujit Dey Software-based self-testing methodology for processor cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, Ying Cheng Embedded hardware and software self-testing methodologies for processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Tom Chen 0001, Glen Sunada Design of a self-testing and self-repairing structure for highly hierarchical ultra-large capacity memory chips. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
33Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey Embedded software-based self-testing for SoC design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional test, SoC test, VLSI test, microprocessor test
32Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Instruction-level test methodology for CPU core self-testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CPU core testing, Instruction level testing, test instruction set, BIST, pipelined processor, software-based self testing
31Andreas Apostolakis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Ishwar Parulkar Exploiting Thread-Level Parallelism in Functional Self-Testing of CMT Processors. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Chip multithreading, micro-processor testing, functional self-testing, test time optimization, multiprocessors, software-based self-testing
31Dimitris Nikolos Optimal Self-Testing Embedded Parity Checkers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Parity tree, embedded self-testing circuits, self-testing, two-rail checker, parity checker
31Krishnendu Chakrabarty, John P. Hayes Balance testing and balance-testable design of logic circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in self testing, design for testability, fault detection, fault coverage, testing methods
31Xrysovalantis Kavousianos, Dimitris Nikolos Self-exercising self testing k-order comparators. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self testing k-order comparators, self-exercising comparators, equality comparator, fault tolerant cache memory, built-in self test, error correction codes, combinational circuit, error detection codes, broadcast networks
31Nektarios Kranitis, George Xenoulis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Ronald Stevens, Brittany Parsons, Tariq M. King A self-testing autonomic container. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF testing, validation, autonomic computing
30Steffen Tarnick Design of Embedded Self-Testing Checkers for t-UED and BUED Codes. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF t-unidirectional error detecting (t-UED) codes, burst unidirectional error detecting (BUED) codes, averaging circuits, embedded checkers, self-testing checkers
28Andreas Apostolakis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Functional Self-Testing for Bus-Based Symmetric Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28George Xenoulis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Instruction-Based Online Periodic Self-Testing of Microprocessors with Floating-Point Units. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Amit Laknaur, Sai Raghuram Durbha, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable capacitor array, built-in-self-testing, analog testing, field programmable analog array
27Cecilia Metra, Michele Favalli, Bruno Riccò Compact and low power on-line self-testing voting scheme. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on-line self-testing voting scheme, fault-tolerant techniques, reliability, power consumption, majority voting, triple modular redundancy, area overhead, majority logic, TMR systems
27Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Gizopoulos, Nektarios Kranitis, Constantin Halatsis A concurrent built-in self-test architecture based on a self-testing RAM. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Xiaoliang Bai, Sujit Dey, Janusz Rajski Self-test methodology for at-speed test of crosstalk in chip interconnects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Instruction-Based Self-Testing of Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF processor cores, built-in self-test, instruction set, at-speed testing, software-based self test
26Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Instruction-Based Self-Testing of Processor Cores. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Eiji Fujiwara, Nobuo Mutoh, Kohji Matsuoka A Self-Testing Group-Parity Prediction Checker and Its Use for Built-In Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF self-verification, error-detection ability, group-parity prediction checker, self-testing, Built-in testing, duplication, self-checking checker, fault-detection ability
25Steffen Tarnick Self-Testing Embedded Borden t -UED Code Checkers for t = 2 k q - 1 with q = 2 m - 1. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Borden codes, Embedded checkers, Code translators, AN codes, Self-testing checkers
25Stanislaw J. Piestrak Design of Self-Testing Checkers for m-out-of-n Codes Using Parallel Counters. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF counters of 1s, m-out-of-n (m/n) codes, concurrent error detection, on-line testing, self-checking circuit, unidirectional errors, parallel counters, unordered codes, self-testing checker
25Stanislaw J. Piestrak Design of encoders and self-testing checkers for some systematic unidirectional error detecting codes. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF systematic unidirectional error detecting code, t-UED Bose-Lin code, burst UED code, multi-output threshold circuit, encoder, automatic testing, logic function, Berger code, hardware complexity, self-testing checker
25Kazuo Kawakubo, Koji Tanaka, Hiromi Hiraishi Formal Verification Of Self-Testing Properties Of Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-testing properties, logic function manipulation, decision function, output code words, self-checking logic, mutiple-input multiple-output circuit, fault tolerance, formal verification, combinational circuits, combinational circuits, binary decision diagrams, stuck-at faults, satisfiability problem, characteristic functions, Berger code
25Hussam Y. Abujbara, Sami A. Al-Arian Self-testing and self-reconfiguration architecture for 2-D WSI arrays. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Ravi Kumar 0001, D. Sivakumar 0001 Efficient Self-Testing/Self-Correction of Linear Recurrences. Search on Bibsonomy FOCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF efficient self-testing, efficient self-correction, self-tester design, self-corrector design, result-checkers, linear function testing, counting arguments, matrix twist, convolution identities, VLSI chip testing, randomness-efficient self-tester, rational domains, signal processing, finite fields, program testing, polynomials, reduction, functions, spectral analysis, matrix groups, linear recurrences, control engineering
24Nur A. Touba, Edward J. McCluskey Applying two-pattern tests using scan-mapping. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scan-mapping, combinational mapping logic, logic testing, built-in self test, built-in self-testing, fault coverage, delay faults, pseudo-random testing, deterministic testing, two-pattern tests
24Adam Kristof Extension of the boundary-scan architecture and new idea of BIST for more effective testing and self-testing of interconnections. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Y. You, John P. Hayes Implementation of VLSI self-testing by regularization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Nektarios Kranitis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Low-Cost Software-Based Self-Testing of RISC Processor Cores. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Andrzej Krasniewski, Alexander Albicki Simulation-free estimation of speed degradation in NMOS self-testing circuits for CAD applications. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
23Frédéric Magniez Multi-Linearity Self-Testing with Relative Error. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Timothy J. Dysart, Peter M. Kogge Probabilistic Analysis of a Molecular Quantum-Dot Cellular Automata Adder. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Steven S. Gorshe, Bella Bose A self-checking ALU design with efficient codes. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-checking ALU design, self-testing ALU, VLSI, logic testing, built-in self test, integrated circuit testing, logic design, error detection codes, error detecting codes, integrated logic circuits, unidirectional errors
21Peter Böhlau Zero Aliasing Compression Based on Groups of Weakly Independent Outputs in Circuits with High Complexity for Two Fault Models. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF zero aliasing, self-testing circuits, functional properties, groupability, weakly independent outputs, built-in self-test
21Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Built-in sequential fault self-testing of array multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jaeki Yoo, Edward Lee, Earl E. Swartzlander Jr. A self-testing method for the pipelined A/D converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Ravi Kumar 0001, D. Sivakumar 0001 On Self-Testing without the Generator Bottleneck. Search on Bibsonomy FSTTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Alain E. Ramirez, Barbara Quinones-Morales, Tariq M. King A self-testing autonomic job scheduler. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF autonomic computing, job scheduling, self-testing
20Stanislaw J. Piestrak Design of Self-Testing Checkers for Borden Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Borden code, easily-testable circuit, multioutput threshold circuit, optimal t-unidirectional error detecting code, concurrent error detection, unidirectional errors, self-testing checker, unidirectional error detecting code
20Egor S. Sogomonyan, Michael Gössel Concurrently self-testing embedded checkers for ultra-reliable fault-tolerant systems. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit feedback, concurrently self-testing embedded checker, ultra-reliable fault-tolerant system, parity codes, design, monitoring, built-in self test, integrated circuit testing, linear feedback shift register, error detection codes, error detection code, shift registers, arithmetic codes, Berger codes, duplication codes, integrated circuit reliability, corrector
20Seyed H. Hosseini, Nizar Jamal Efficient Distributed Algorithms for Self Testing of Multiple Processor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF interprocessor links, testing overhead, performance evaluation, performance, reliability, distributed algorithms, fault tolerant computing, multiprocessing systems, automatic testing, simulation results, self testing, multiple processor systems, dynamic testing
20Stanislaw J. Piestrak Design of High-Speed and Cost-Effective Self-Testing Checkers for Low-Cost Arithmetic Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF complexity, logic testing, logic design, automatic testing, digital arithmetic, logic circuits, error-detecting codes, error detection codes, arithmetic codes, gate levels, self-testing checkers
20Yuval Tamir, Carlo H. Séquin Design and Application of Self-Testing Comparators Implemented with MOS PLA's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF two-rail code checker, duplication and matching, faults in VLSI circuits, MOS PLA fault model, self-testing comparator, programmable logic array, Concurrent error detection
20Zeev Barzilai, Don Coppersmith, Arnold L. Rosenberg Exhaustive Generation of Bit Patterns with Applications to VLSI Self-Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF VLSI self-testing, Linear feedback shift registers (LFSR), primitive polynomials
19Sami Beydeda Self-Metamorphic-Testing Components. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Marcos A. Kiwi, Frédéric Magniez, Miklos Santha Exact and Approximate Testing/Correcting of Algebraic Functions: A Survey. Search on Bibsonomy Theoretical Aspects of Computer Science The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Manuel Blum 0001, Bruno Codenotti, Peter Gemmell, Troy Shahoumian Self-Correcting for Function Fields Transcendental Degree. Search on Bibsonomy ICALP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Dimitris Gizopoulos Online Periodic Self-Test Scheduling for Real-Time Processor-Based Systems Dependability Enhancement. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Laurie Murphy, Josh Tenenberg Do computer science students know what they know?: a calibration study of data structure knowledge. Search on Bibsonomy ITiCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF calibration of knowledge, data structures, self-assessment, metacognition
19Yizheng Zhou, Vijay Lakamraju, Israel Koren, C. M. Krishna 0001 Software-Based Failure Detection and Recovery in Programmable Network Interfaces. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Programmable Network Interface Card (NIC), Single Event Upset (SEU), radiation induced faults, failure detection, self-testing
19Stanislaw J. Piestrak Comments on 'Novel Totally Self-Checking Berger Checker Designs Based on Generalized Berger Code Partitioning'. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TSC Berger code checker, Berger code, totally self-checking circuit, self-testing checker, two-rail code
19Amit Laknaur, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Test Instruction Set (TIS) for High Level Self-Testing of CPU Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Kwanghyun Kim, Dong Sam Ha, Joseph G. Tront On using signature registers as pseudorandom pattern generators in built-in self-testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Sandeep K. Venishetti, Ali Akoglu, Rahul Kalra Hierarchical Built-in Self-testing and FPGA Based Healing Methodology for System-on-a-Chip. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yizheng Zhou, Vijay Lakamraju, Israel Koren, C. Mani Krishna 0001 Software-Based Adaptive and Concurrent Self-Testing in Programmable Network Interfaces. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Steffen Tarnick, Albrecht P. Stroele Embedded self-testing checkers for low-cost arithmetic codes. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Stanislaw J. Piestrak, Dimitris Bakalis, Xrysovalantis Kavousianos On the Design of Self-Testing Checkers for Modified Berger Codes. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sami Beydeda STECC: Selbsttestende Software-Komponenten. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Self-testability, Control flow graph generation, Path-oriented testing, Component-based development
17Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Effective Software Self-Test Methodology for Processor Cores. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Andrzej Krasniewski Application-Dependent Testing of FPGA Delay Faults. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Krishnendu Chakrabarty, John P. Hayes Cumulative balance testing of logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Hsiu-Ming Chang 0001, Kuan-Yu Lin, Chin-Hsuan Chen, Kwang-Ting Cheng A Built-in self-calibration scheme for pipelined ADCs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey Embedded Software-Based Self-Test for Programmable Core-Based Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Christian Galke, Marcus Grabow, Heinrich Theodor Vierhaus Perspectives of Combining on-line and off-line Test Technology for Dependable Systems on a Chip. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Andrzej Krasniewski, Slawomir Pilarski Circular Self-Test Path: A Low-Cost BIST Technique. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Cecilia Metra, Michele Favalli, Bruno Riccò Embedded two-rail checkers with on-line testing ability. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF embedded two-rail checkers, online testing ability, self-testing ability, compact structure, VLSI, logic testing, integrated circuit testing, design for testability, error detection, automatic testing, integrated logic circuits, two-rail code
16Imtiaz P. Shaik, Michael L. Bushnell Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF low overhead delay-fault BIST, constrained quadratic 0-1 programming, built-in self testing model, weighted signed graph balancing problem, VLSI, logic testing, delays, built-in self test, integrated circuit testing, logic design, automatic testing, integrated circuit design, quadratic programming, circuit design, digital integrated circuits, hazards and race conditions
16Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
16Leonardo Mariani, Mauro Pezzè, David Willmor Generation of Integration Tests for Self-Testing Components. Search on Bibsonomy FORTE Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-Based Delay Fault Self-Testing of Processor Cores. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Andrzej Krasniewski Self-Testing of FPGA Delay Faults in the System Environment. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, BIST, random testing, delay faults
16Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
16Rupsa Chakraborty, Dipanwita Roy Chowdhury coreBIST: A Cellular Automata Based Core for Self Testing System-on-Chips. Search on Bibsonomy ACRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Response-Analyzer, Built-in self-test, System-on-Chip, Cellular Automata, Test-Pattern-Generator
16Anzhela Yu. Matrosova, Vladimir Ostrovsky, Ilya Levin, K. Nikitin Designing FPGA based Self-Testing Checkers for m-out-of-n Codes. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Ronitt Rubinfeld, Madhu Sudan 0001 Self-Testing Polynomial Functions Efficiently and Over Rational Domains. Search on Bibsonomy SODA The full citation details ... 1992 DBLP  BibTeX  RDF
15Andreas Apostolakis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Functional Processor-Based Testing of Communication Peripherals in Systems-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Andreas Apostolakis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis A Functional Self-Test Approach for Peripheral Cores in Processor-Based SoCs. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Marco Balboni, Davide Bertozzi Transparent lifetime built-in self-testing of networks-on-chip through the selective non-concurrent testing of their communication channels. Search on Bibsonomy AISTECS@HiPEAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Ching-Wen Lin, Chung-Ho Chen Unambiguous I-cache testing using software-based self-testing methodology. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Diana Bodean, Ghenadie Bodean, Wajeb Gharibi Pseudo-Ring Testing Schemes and Algorithms of RAM Built-In and Embedded Self-Testing Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
14Satoshi Mizutani, Toshio Nakagawa, Kodo Ito, Hiroaki Sandoh Optimal periodic testing policy for circuit with self-testing. Search on Bibsonomy Comput. Math. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Sami Beydeda, Volker Gruhn Merging components and testing tools: The Self-Testing COTS Components (STECC) Strategy. Search on Bibsonomy EUROMICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Kim T. Le, Kewal K. Saluja A Novel Approach for Testing Memories Using a Built-In Self Testing Technique. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
14Tom W. Williams Sufficient Testing In A Self-Testing Environment. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
14Jianxun Liu, Wen-Ben Jone An efficient routing method for pseudo-exhaustive built-in self-testing of high-speed interconnects. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Steffen Tarnick Self-Testing Embedded Checkers for Bose-Lin, Bose, and a Class of Borden Codes. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Steffen Tarnick A Design Method for Embedded Self-Testing t-UED and BUED Code Checkers. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Albrecht P. Stroele, Steffen Tarnick Programmable Embedded Self-Testing Checkers for All-Unidirectional Error-Detecting Code. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Stanislaw J. Piestrak Design of minimal-level PLA self-testing checkers for m-out-of-n codes. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Ram Chillarege Self-testing software probe system for failure detection and diagnosis. Search on Bibsonomy CASCON The full citation details ... 1994 DBLP  BibTeX  RDF diagnosis, detection, failure, isolation, software errors
14Shambhu J. Upadhyaya, Kewal K. Saluja A new approach to the design of built-in self-testing PLAs for high fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14S. B. Tan, K. Totton, Keith Baker, Prab Varma, R. Porter A Fast Signature Simulation Tool for Built-In Self-Testing Circuits. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Rimpy Bishnoi, Vijay Laxmi, Manoj Singh Gaur, José Flich, Francisco Triviño A Brief Comment on "A Complete Self-Testing and Self-Configuring NoC Infrastructure for Cost-Effective MPSoCs" [ACM Transactions on Embedded Computing Systems 12 (2013) Article 106]. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 435 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license