The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase shift-registers (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1969 (18) 1970-1976 (16) 1977-1984 (15) 1985-1988 (20) 1989-1990 (21) 1991-1992 (16) 1993-1994 (25) 1995 (24) 1996-1997 (21) 1998-1999 (22) 2000 (17) 2001-2002 (36) 2003 (22) 2004 (19) 2005 (18) 2006 (27) 2007 (28) 2008 (25) 2009-2010 (16) 2011-2013 (21) 2014-2015 (25) 2016-2017 (21) 2018-2019 (15) 2020-2021 (15) 2022-2024 (10)
Publication types (Num. hits)
article(244) book(1) incollection(4) inproceedings(264)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 680 occurrences of 289 keywords

Results
Found 513 publication records. Showing 513 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
74Chik How Tan, Xun Yi, Chee Kheong Siew A CCA2 Secure Key Encapsulation Scheme Based on 3rd Order Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adaptive chosen-ciphertext attack, Public key cryptosystem, shift registers
74Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Tomoo Inoue, Hideo Fujiwara Testing for the programming circuit of LUT-based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF programming circuit, control circuit, configuration memory cell array, FPGA, fault model, SRAM, shift registers, shift registers, look-up table
69Jovan Dj. Golic, Renato Menicocci Edit Distance Correlation Attack on the Alternating Step Generator. Search on Bibsonomy CRYPTO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-controlled shift registers, alternating step generator, cryptanalysis, Stream ciphers, edit distance, correlation attacks
67Frederic J. Mowle An Algorithm for Generating Stable Feedback Shift Registers of Order n. Search on Bibsonomy J. ACM The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
65Van-Ly Le, Werner Schindler How to Embed Short Cycles into Large Nonlinear Feedback-Shift Registers. Search on Bibsonomy SCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF short cycles, systems of algebraic equations, low-cost group identification, Nonlinear feedback shift register, invariant theory
64Andrew Klapper, Mark Goresky Large Periods Nearly de Bruijn FCSR Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF deBruijn property, Binary sequences, feedback with carry shift registers, 2-adic numbers
63Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
62Andrew Klapper, Jinzhong Xu Register Synthesis for Algebraic Feedback Shift Registers Based on Non-Primes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register synthesis, N-adic numbers, stream cipher, pseudorandom generator, feedback shift register
58S. Anand, Gurumurthi V. Ramanan Periodicity, complementarity and complexity of 2-adic FCSR combiner generators. Search on Bibsonomy AsiaCCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ?-sequences, 2-adic complexity, stream ciphers, combiners, linear complexity, pseudorandom number generators, feedback shift registers, FCSR
58Jovan Dj. Golic, Renato Menicocci Edit Probability Correlation Attacks on Stop/ Go Clocked Keystream Generators. Search on Bibsonomy J. Cryptol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Stop/go clocked shift registers, Edit probability, Stream ciphers, Correlation attack
58François Arnault, Thierry P. Berger, Abdelkader Necer A New Class of Stream Ciphers Combining LFSR and FCSR Architectures. Search on Bibsonomy INDOCRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 2-adic expansion, Self-synchronizing stream ciphers, Pseudorandom generators, Feedback shift registers
52Laung-Terng Wang, Edward J. McCluskey Linear Feedback Shift Register Design Using Cyclic Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF feedback, codes, linear-feedback shift registers, shift registers, design technique, test patterns, cyclic codes, pseudoexhaustive testing
52P. Golan, Ondrej Novák, Jan Hlavicka Pseudoexhaustive Test Pattern Generator with Enhanced Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF pseudoexhaustive test pattern generation, random access scan, scan addresses, logic testing, integrated circuit testing, feedback, linear feedback shift registers, shift registers
51Andrew Klapper Algebraic Feedback Shift Registers Based on Function Fields. Search on Bibsonomy SETA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50C. L. Chen Linear Dependencies in Linear Feedback Shift Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Linear feedback shift registers, test pattern generation, self-test
48Sultan Al-Hinai, Lynn Margaret Batten, Bernard D. Colbert Mutually Clock-Controlled Feedback Shift Registers Provide Resistance to Algebraic Attacks. Search on Bibsonomy Inscrypt The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream cipher, algebraic attacks, clock-control
48Rainer Göttfert Sequences of Period 2N-2. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodic sequences, nonlinear feedback shift registers, minimal polynomial
48Janusz Rajski, Jerzy Tyszer Primitive Polynomials Over GF(2) of Degree up to 660 with Uniformly Distributed Coefficients. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ring generators, linear feedback shift registers, primitive polynomials
48Jovan Dj. Golic, Renato Menicocci Correlation Attacks on Up/Down Cascades. Search on Bibsonomy ACISP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF clock-controlled shift registers, up/down and stop/go cascades, cryptanalysis, Stream ciphers, correlation attacks
45Elena Dubrova, Maxim Teslenko, Hannu Tenhunen On Analysis and Synthesis of (n, k)-Non-Linear Feedback Shift Registers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Andrew Klapper A Survey of Feedback with Carry Shift Registers. Search on Bibsonomy SETA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Bernhard Smeets A Note On Sequences Generated by Clock Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
45Dieter Gollmann Pseudo Random Properties of Cascade Connections of Clock Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
43Alexander Kholosha Clock-Controlled Shift Registers and Generalized Geffe Key-Stream Generator. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF key-stream generator, clock-controlled shift register, Geffe generator, cryptography
41Konstantinos Limniotis, Nicholas Kolokotronis, Nicholas Kalouptsidis Nonlinear Complexity of Binary Sequences and Connections with Lempel-Ziv Compression. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Lempel-Ziv compression, nonlinear complexity, Cryptography, sequences, nonlinear feedback shift registers
41Philippe Chose, Antoine Joux, Michel Mitton Fast Correlation Attacks: An Algorithmic Point of View. Search on Bibsonomy EUROCRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF match-and-sort, algorithmics, cryptanalysis, Stream ciphers, linear feedback shift registers, fast correlation attacks, parity-checks
41Palash Sarkar 0001, Subhamoy Maitra Efficient Implementation of "Large" Stream Cipher Systems. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Boolean functions, Stream Ciphers, Cellular Automata, Linear Feedback Shift Registers, Reconfigurable Hardware, Pipelined Architecture
41Ondrej Novák Pseudorandom, Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear cyclic codes, hardware test pattern generators, weighted random testing, Cellular automata, BIST, linear feedback shift registers, pseudoexhaustive testing
41Andrew Klapper, Mark Goresky Cryptanalysis Based on 2-Adic Rational Approximation. Search on Bibsonomy CRYPTO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cryptanalysis, Binary sequences, rational approximation, feedback with carry shift registers, 2-adic numbers
41Miodrag J. Mihaljevic, Jovan Dj. Golic Convergence of a Bayesian Iterative Error-Correction Procedure on a Noisy Shift register Sequence. Search on Bibsonomy EUROCRYPT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Algorithms, Cryptanalysis, Convergence, Decoding, Shift registers, Fast correlation attack
41Maurizio Damiani, Piero Olivo, Michele Favalli, Silvia Ercolani, Bruno Riccò Aliasing in signature analysis testing with multiple input shift registers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Tom W. Williams, Wilfried Daehn, Matthias Gruetzner, Corot W. Starke Bounds and analysis of aliasing errors in linear feedback shift registers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
40Agnes Hui Chan, Mark Goresky, Andrew Klapper On the Linear Complexity of Feedback Registers (Extended Abstract). Search on Bibsonomy EUROCRYPT The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
39Mark Goresky, Andrew Klapper Pseudonoise sequences based on algebraic feedback shift registers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Jovan Dj. Golic, Luke O'Connor Embedding and Probabilistic Correlation Attacks on Clock-Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
39Yves Roggeman Varying Feedback Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
37Andrew Klapper The Asymptotic Behavior of pi-Adic Complexity with pi2 = - 2. Search on Bibsonomy SSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF N-adic complexity, Stream ciphers, Sequences, shift registers
37Anthony A. Philippakis, Aaron M. Qureshi, Michael F. Berger, Martha L. Bulyk Design of Compact, Universal DNA Microarrays for Protein Binding Microarray Experiments. Search on Bibsonomy RECOMB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF de Bruijn sequences, protein binding microarrays, linear feedback shift registers, motif, transcription factor
37Sanjay Burman, Debdeep Mukhopadhyay, Kamakoti Veezhinathan LFSR Based Stream Ciphers Are Vulnerable to Power Attacks. Search on Bibsonomy INDOCRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Linear Feed Back Shift Registers, Dynamic Power Dissipation, Side Channel Attacks, Power Analysis, Hamming Distance
37Hong Xu 0008, Wen-Feng Qi 0001 On the Distinctness of Decimations of Generalized l-Sequences. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Feedback-with-carry shift registers (FCSRs), l-sequences, generalized l-sequences, integer residue ring, primitive sequences, 2-adic numbers
37Nicholas Kolokotronis, Konstantinos Limniotis, Nicholas Kalouptsidis Lower Bounds on Sequence Complexity Via Generalised Vandermonde Determinants. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF filter functions, linear feedbak shift registers, Vandermonde determinants, linear complexity, Binary sequences, symmetric functions
37Dimitri Kagaris Phase Shifter Merging. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Built-in Self-Test (BIST), cellular automata, linear feedback shift registers, Test Pattern Generation (TPG), phase shifters
37Maneli Noorkami, Faramarz Fekri A Fast Correlation Attack via Unequal Error Correcting LDPC Codes. Search on Bibsonomy CT-RSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cryptanalysis, Stream ciphers, linear feedback shift registers, fast correlation attacks, LDPC codes
37Håvard Molland, Tor Helleseth An Improved Correlation Attack Against Irregular Clocked and Filtered Keystream Generators. Search on Bibsonomy CRYPTO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Irregular clocked shift registers, Boolean functions, Stream cipher, Correlation attack
37Dimitri Kagaris Multiple-Seed TPG Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), Linear Feedback Shift Registers (LFSRs), Test Pattern Generation (TPG)
37Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos On-the-Fly Reseeding: A New Reseeding Technique for Test-Per-Clock BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test-per-clock schemes, accumulator-based test pattern generators, built-in self-test, linear feedback shift registers, reseeding
37Paul Camion, Miodrag J. Mihaljevic, Hideki Imai Two Alerts for Design of Certain Stream Ciphers: Trapped LFSR and Weak Resilient Function over GF(q). Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2002 DBLP  DOI  BibTeX  RDF linear feedback shift registers over GF(), nonlinear combination generator, cryptanalysis, resilient functions, keystream generators
37Palash Sarkar 0001 The Filter-Combiner Model for Memoryless Synchronous Stream Ciphers. Search on Bibsonomy CRYPTO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF synchronous stream ciphers, nonlinear filter model, nonlinear combiner model, filter-combiner model, cellular automata, linear feedback shift registers
37Miodrag J. Mihaljevic, Marc P. C. Fossorier, Hideki Imai Fast Correlation Attack Algorithm with List Decoding and an Application. Search on Bibsonomy FSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF nonlinear combiner, cryptanalysis, Stream ciphers, linear feedback shift registers, nonlinear filter, keystream generators
37Miodrag J. Mihaljevic, Marc P. C. Fossorier, Hideki Imai A Low-Complexity and High-Performance Algorithm for the Fast Correlation Attack. Search on Bibsonomy FSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF stream ciphers, decoding, linear feedback shift registers, fast correlation attack, keystream generators
37Chunming Qiao, Rami G. Melhem Reconfiguration with Time Division Multiplexed MIN's for Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MIN's, multiprocessor communications, TDM-MIN's, N time slots, n-dimensional hypercubes, Markov analysis, partition of connection requests, partitioning, mappings, reconfiguration, multiprocessor interconnection networks, embedding, meshes, NP-hard, multistage interconnection networks, optical interconnects, rings, binary trees, shift registers, time division multiplexing, time division multiplexed, round-robin, cube-connected-cycles
37Hussein M. Alnuweiri, Viktor K. Prasanna Fast Image Labeling Using Local Operators on Mesh-Connected Computers. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF bit-serial processors, local operators, asymptotic time complexity, very fast shift registers, parallel algorithm, parallel algorithms, computational complexity, computational complexity, parallel architectures, parallel architectures, computerised picture processing, computerised picture processing, stacks, communication links, mesh-connected computers, image labeling
34François Arnault, Thierry P. Berger Correction to "Feedback With Carry Shift Registers Synthesis With the Euclidean Algorithm" [May 04 910-917]. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Cédric Lauradoux From Hardware to Software Synthesis of Linear Feedback Shift Registers. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Ali Kanso Clock-Controlled Shrinking Generator of Feedback Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Sandeepan Chowdhury, Subhamoy Maitra Efficient Software Implementation of Linear Feedback Shift Registers. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Block Oriented LFSR, Connection Polynomials, Stream Cipher
34Jovan Dj. Golic Towards Fast Correlation Attacks on Irregularly Clocked Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Thyagaraju R. Damarla, Avinash Sathaye Applications of one-dimensional cellular automata and linear feedback shift registers for pseudo-exhaustive testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
32Dirk Stegemann Extended BDD-Based Cryptanalysis of Keystream Generators. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2007 DBLP  DOI  BibTeX  RDF F-FCSR, cryptanalysis, Stream cipher, BDD, Trivium, Grain
32Per Larsson-Edefors A Miniature Serial-Data SIMD Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Solomon W. Golomb Shift Register Sequences - A Retrospective Account. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Carl Davis, Svetlana P. Kartashev, Steven I. Kartashev Reconfigurable multicomputer networks for very fast real-time applications. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
29Ali Kanso More Generalized Clock-Controlled Alternating Step Generator. Search on Bibsonomy ACNS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Clock-Controlled Registers, Alternating Step Generator and Clock-Controlled Alernating Step Generator, Stream Ciphers
29Wonjong Kim, Seungchul Kim, Hanjin Cho, Kwang-youb Lee A fast-serial finite field multiplier without increasing the number of registers. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Solomon W. Golomb, Pey-Feng Lee Which Irreducible Polynomials Divide Trinomials over GF(2)? Search on Bibsonomy SETA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Nicholas Kolokotronis, Konstantinos Limniotis, Nicholas Kalouptsidis Improved Bounds on the Linear Complexity of Keystreams Obtained by Filter Generators. Search on Bibsonomy Inscrypt The full citation details ... 2007 DBLP  DOI  BibTeX  RDF filter functions, linearized polynomials, stream ciphers, linear feedback shift registers, linear complexity, Binary sequences
26Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer High Performance Dense Ring Generators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ring generators, Built-in self-test, design for testability, linear feedback shift registers, phase shifters
26Jovan Dj. Golic New Methods for Digital Generation and Postprocessing of Random Data. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequential circuits, linear feedback shift registers, integrated circuits, Random number generation, ring oscillators, special-purpose hardware, chaotic systems
26Bin Zhang 0003, Dengguo Feng Security analysis of a new stream cipher. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF divide-and-conquer attack, non-linear feedback shift registers (NLFSR), stream cipher, chaotic sequence
26Rafal Bialota, Grzegorz Kawa Modified Alternating vec{k}-generators. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF stream ciphers, shift-registers, keystream generators
26Dimitrios Kagaris A unified method for phase shifter computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-test (BIST), cellular automata, linear feedback shift registers, Test pattern generation (TPG), linear finite state machines, phase shifters
26Mitrajit Chatterjee, Dhiraj K. Pradhan A BIST Pattern Generator Design for Near-Perfect Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC
26Dimitri Kagaris, Spyros Tragoudas LFSR Characteristic Polynomials for Pseudo-Exhaustive TPG with Low Number of Seeds. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF built-in self-test (BIST), Linear Feedback Shift Registers (LFSR), test pattern generation (TPG)
26Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos A highly regular multi-phase reseeding technique for scan-based BIST. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based schemes, built-in self-test, linear feedback shift registers, reseeding
26Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos An Efficient Seeds Selection Method for LFSR-Based Test-per-Clock BIST. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Test-per-Clock Schemes, Reseeding Techniques, Built-In Self-Test, Linear Feedback Shift Registers, Test Pattern Generation
26Rajagopalan Srinivasan, Sandeep K. Gupta 0001, Melvin A. Breuer Novel Test Pattern Generators for Pseudoexhaustive Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF linear feedback shift registers, Test pattern generators, pseudoexhaustive testing
26Lijian Li, Yinghua Min An efficient BIST design using LFSR-ROM architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF read-only storage, BIST design, LFSR-ROM architecture, built-in self test, logic design, automatic test pattern generation, test pattern generation, integrated circuit design, shift registers, hardware overhead, ROM, integrated circuit economics
26Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang Novel techniques for improving testability analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns
26Andrzej Hlawiczka, Michal Kopec Design and testing of fast and cost effective serial seeding TPGs based on one-dimensional linear hybrid cellular automata. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF serial seeding, linear hybrid cellular automata, n-cell CA register, p CdSR registers, cellular automata quasi shift register, PCASR, n-bit input sequence, logic testing, cellular automata, integrated circuit testing, automatic test pattern generation, application specific integrated circuits, polynomials, polynomial, logic CAD, cost, TPG, flip-flops, flip-flops, shift registers, pattern generators, integrated circuit economics
26Nirmal R. Saxena, Edward J. McCluskey Parallel Signatur Analysis Design with Bounds on Aliasing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF aliasing probability bounds, parallel signature designs, multiple input signature registers (MISR), linear feedback shift registers, random testing, Signature analysis
26Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed
26Jovan Dj. Golic Linear Models for Keystream Generators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Clock-controlled shift registers, cryptography, correlation coefficients, linear models, keystream generators
26Janusz Rajski, Jerzy Tyszer On Linear Dependencies in Subspaces of LFSR-Generated Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF linear dependencies, Built-in self-test, linear feedback shift registers, scan designs, primitive polynomials
26Egor S. Sogomonyan, Michael Gössel Concurrently self-testing embedded checkers for ultra-reliable fault-tolerant systems. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit feedback, concurrently self-testing embedded checker, ultra-reliable fault-tolerant system, parity codes, design, monitoring, built-in self test, integrated circuit testing, linear feedback shift register, error detection codes, error detection code, shift registers, arithmetic codes, Berger codes, duplication codes, integrated circuit reliability, corrector
26Mody Lempel, Sandeep K. Gupta Zero Aliasing for Modeled Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF zero-aliasing, Built-in self-test, linear feedback shift registers, signature analysis, response compaction
26Seiken Yano Unified scan design with scannable memory arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF unified scan design, scannable memory arrays, single scan path, scan operation time, scannable register file, fault diagnosis, design for testability, design-for-testability, automatic testing, logic CAD, flip-flops, flip-flops, arrays, shift registers, integrated memory circuits
26Manoj Franklin Fast computation of C-MISR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-MISR signatures, built-in self-test applications, good circuit signature, faulty circuit signatures, cellular automata-based multi-input signature registers, equivalent single input circuit, VLSI, logic testing, built-in self test, cellular automata, integrated circuit testing, sequential circuits, shift registers, test responses, signature analyzers, equivalent circuits
26Meng-Lieh Sheu, Chung-Len Lee 0001 A programmable multiple-sequence generator for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programmable multiple-sequence generator, BIST applications, two-dimension-like feedback shift register, deterministic sequence, pseudo-random vectors, sequence segmentation method, stuck-open fault testing, logic testing, delays, built-in self test, sequential circuits, shift registers, delay fault testing, binary sequences, sequential circuit testing, regular structure, MCM testing
26Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
26Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
26Janusz Rzeszut, Bozena Kaminska, Yvon Savaria A new method for testing mixed analog and digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF charge-coupled device circuits, mixed analog and digital circuits, analog test points, simultaneous observation, analog multiplexer, signal path, analog shift register, input voltage, integrated circuit testing, shift registers, mixed analogue-digital integrated circuits, charge coupled device, analogue processing circuits
26C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
26Mitrajit Chatterjee, Dhiraj K. Pradhan A novel pattern generator for near-perfect fault-coverage. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault
26Jacob Savir On shrinking wide compressors. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wiring overhead, detection probability loss, test length penalty, fault coverage degradation, fault diagnosis, logic testing, built-in self test, built-in self-test, integrated circuit testing, shift registers, pseudo-random test, MISRs, parity, multiple-input signature registers
26Nadime Zacharia, Janusz Rajski, Jerzy Tyszer Decompression of test data using variable-length seed LFSRs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test data decompression, variable-length seed LFSRs, deterministic test vectors, scan circuits, multiple polynomial LFSR, encoding efficiency, logic testing, built-in self test, integrated circuit testing, encoding, automatic testing, polynomials, linear feedback shift register, shift registers, modular design, digital integrated circuits
26Shridhar K. Mukund, Edward J. McCluskey, T. R. N. Rao An apparatus for pseudo-deterministic testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudo-deterministic testing, deterministic patterns, at-speed BIST, arbitrary length shift register, care bits, tap configurations, test segments, don't care bits, random pattern resistant faults, interconnected logic blocks, logic testing, built-in self test, integrated circuit testing, LFSR, shift registers, computational efficiency, test vector, pseudo-random sequences
26Albrecht P. Stroele Signature analysis and aliasing for sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF built-in self-test techniques, test registers, subcircuits, irreducible characteristic polynomial, limiting value, fault diagnosis, logic testing, built-in self test, integrated circuit testing, sequential circuits, sequential circuits, aliasing, signature analysis, shift registers, test lengths
26Manoj Franklin, Kewal K. Saluja, Kyuchull Kim Fast computation of MISR signatures. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MISR signatures, fast computation, test response compression, multi-input signature registers, equivalent single input circuit, logic testing, design for testability, logic design, table lookup, table lookups, shift registers, binary sequences, speedup technique, signature analyzers
26Alice M. Tokarnia Identifying Minimal Shift Counters: A Search Technique. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF minimal shift counters, feedback function, shift register properties, feedback, shift registers, binary sequences, search technique
26Thomas R. Cain, Alan T. Sherman How to Break Gifford's Cipher (extended abstract). Search on Bibsonomy CCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Boston Community Information System, Gifford's cipher, algorithms over finite fields, linear algebra over GF(2), primary rational canonical form, similar matrices, cryptography, cryptanalysis, stream ciphers, linear feedback shift registers, correlation attack, matrix decompositions, cryptology, similarity transformations, filter generators
Displaying result #1 - #100 of 513 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license