The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for silicon with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (15) 1968-1978 (17) 1979-1982 (16) 1983-1984 (21) 1985 (26) 1986 (16) 1987 (23) 1988 (26) 1989 (32) 1990 (50) 1991 (43) 1992 (24) 1993 (40) 1994 (33) 1995 (56) 1996 (44) 1997 (77) 1998 (106) 1999 (116) 2000 (117) 2001 (156) 2002 (164) 2003 (258) 2004 (249) 2005 (338) 2006 (551) 2007 (802) 2008 (409) 2009 (295) 2010 (207) 2011 (290) 2012 (258) 2013 (438) 2014 (514) 2015 (382) 2016 (374) 2017 (597) 2018 (481) 2019 (351) 2020 (356) 2021 (349) 2022 (355) 2023 (342) 2024 (54)
Publication types (Num. hits)
article(2868) book(10) data(3) incollection(34) inproceedings(6355) phdthesis(171) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2164 occurrences of 1285 keywords

Results
Found 9468 publication records. Showing 9468 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Amir Nahir, Avi Ziv, Rajesh Galivanche, Alan J. Hu, Miron Abramovici, Albert Camilleri, Bob Bentley, Harry Foster, Valeria Bertacco, Shakti Kapoor Bridging pre-silicon verification and post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon, pre-silicon, verification, validation
59Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici Post-silicon validation opportunities, challenges and recent advances. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon validation
57Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra BLoG: post-silicon bug localization in processors using bug localization graphs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IFRA, BLoG, silicon debug, post-silicon validation
57Yu-Chin Hsu, Fur-Shing Tsai, Wells Jong, Ying-Tsai Chang Visibility enhancement for silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon validation, functional verification, silicon debug
56Jagannath Keshava, Nagib Hakim, Chinna Prudvi Post-silicon validation challenges: how EDA and academia can help. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, verification, test, validation, emulation
54Lin Xie, Azadeh Davoodi, Kewal K. Saluja Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon diagnosis, process variations
54Yu Huang 0005, Wu-Tung Cheng Using embedded infrastructure IP for SOC post-silicon verification. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA core, infrastructure IP (I-IP), post-silicon verification, transaction-based verification
53Sandip Ray, Warren A. Hunt Jr. Connecting pre-silicon and post-silicon verification. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53A. Richard Newton Technical Challenges of IP and System-on-Chip: The ASIC Vendor Perspective (Panel). Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Rajani Kuchipudi, Hamid Mahmoodi Strain Silicon Optimization for Memory and Logic in Nano-Scale CMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Leonard Lee, Li-C. Wang, Praveen Parvathala, T. M. Mak On Silicon-Based Speed Path Identification. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng A path-based methodology for post-silicon timing validation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu Diagnosing Silicon Failures Based on Functional Test Patterns. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault diagnosis, Silicon debug, design for debug
48Chirag S. Patel Silicon carrier for computer systems. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chip-package co-design, electrical modeling, micro-bumps, silicon carrier, computer system, CMOS scaling, system on package
45Huy Cat, Myunghee Lee, Brent Buchanan, D. Scott Wills, Martin A. Brooke, Nan M. Jokerst Silicon VLSI processing architectures incorporating integrated optoelectronic devices. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon, integrated optoelectronics, integrated optoelectronic interconnects, I/O communication, inter-chip communication, silicon VLSI processing architectures, digital SIMD processors, frame processing, three dimensional stacked chips, thin film detector array, image processing, image processing, VLSI, optical interconnections, integrated circuit interconnections, Si
44Miron Abramovici In-System Silicon Validation and Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Seiji Kameda, Tetsuya Yagi An analog silicon retina with multichip configuration. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Christophe Lécuyer, David C. Brock Biographies. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gordon Moore, semiconductor industry, silicon technology, silicon device manufacture, Fairchild Semiconductor, Shockley Semiconductor, microprocessor, integrated circuit, DRAM, personal computer, chemistry, Moore's law, Intel
42Georgios Karakonstantis, Kaushik Roy 0001 Low-Power and Variation-Tolerant Application-Specific System Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Sachin S. Sapatnekar Statistical Design of Integrated Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Shreyas Sen, Vishwanath Natarajan, Abhijit Chatterjee Low-Power Adaptive Mixed Signal/RF Circuits and Systems and Self-Healing Solutions. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Swaroop Ghosh Effect of Variations and Variation Tolerance in Logic Circuits. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Benjamin Gojman, Nikil Mehta, Raphael Rubin, André DeHon Component-Specific Mapping for Low-Power Operation in the Presence of Variation and Aging. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Wei Zhang 0012, James Williamson, Li Shang Power Dissipation. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Aditya Bansal, Rahul M. Rao Variations: Sources and Characterization. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Nikil Mehta, André DeHon Low-Power Techniques for FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Bipul C. Paul, Arijit Raychowdhury Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Nikil Mehta, André DeHon Variation and Aging Tolerance in FPGAs. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Hamid Mahmoodi Low-Power and Variation-Tolerant Memory Design. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Meeta Sharma Gupta, Pradip Bose Variation-Tolerant Microprocessor Architecture at Low Power. Search on Bibsonomy Low-Power Variation-Tolerant Design in Nanometer Silicon The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
42Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
42Dennis Sylvester, David T. Blaauw, Eric Karl ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF unpredictable silicon, runtime self-diagnosis, adaptivity, architecture, process variations, self-healing, ElastIC, technology scaling
40John P. Denton, Sang Woo Pae, Gerold W. Neudeck Vertical integration of submicron MOSFETs in two separate layers of SOI islands formed by silicon epitaxial lateral overgrowth. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SOI MOSFET, selective epitaxial growth, silicon, silicon on insulator, thin film SOI, three dimensional circuits
38Andrew DeOrio, Ilya Wagner, Valeria Bertacco Dacota: Post-silicon validation of the memory subsystem in multi-core designs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Eli Yablonovitch Can nano-photonic silicon circuits become an INTRA-chip interconnect technology? Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Ernst Rank, Ulrich Weinert A simulation system for diffusive oxidation of silicon: a two-dimensional finite element approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
37Hee-Dong Kim, Ho-Myoung An, Yujeong Seo, Yongjie Zhang, Jongsun Park 0001, Tae Geun Kim Hydrogen passivation effects under negative bias temperature instability stress in metal/silicon-oxide/silicon-nitride/silicon-oxide/silicon capacitors for flash memories. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
36Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Online cache state dumping for processor debug. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache compression, processor debug, silicon debug, design for debug, post-silicon validation
36Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu A General Failure Candidate Ranking Framework for Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Silicon Debug
36Shi-Hua Luo, Jiu-sun Zeng BF Hot Metal Silicon Content Prediction Using Unsupervised Fuzzy Clustering. Search on Bibsonomy ICFIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Silicon Content Prediction, Unsupervised Fuzzy Clustering
36Xuesong Han Investigation of Surface Integrity in the Case of Chemical Mechanical Polishing Silicon Wafer by Molecular Dynamics Simulation Method. Search on Bibsonomy ICAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon wafer, vacancy, dislocation, molecular dynamics, Chemical mechanical polishing
36Bart Vermeulen, Mohammad Zalfany Urfianto, Sandeep Kumar Goel Automatic generation of breakpoint hardware for silicon debug. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware-breakpoints, design-flow, silicon-debug, design-for-debug
36R. Dean Adams, Phil Shephard III Silicon-on-Insulator Technology Impacts on SRAM Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault modeling and simulation, Silicon On Insulator (SOI), Memory testing
36Robert C. Frye, King L. Tai, Maureen Y. Lau, Thaddeus J. Gabara Trends in Silicon-On-Silicon Multichip Modules. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
35Soon Fatt Yoon III-V/Si integration: potential and outlook for integrated low power micro and nanosystems. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous integration
33Vassilios Gerousis Physical design implementation for 3D IC: methodology and tools. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC stack, micro-bump, physical design tools, silicon interposer, methodology, tsv
33Jing Li 0073, Aditya Bansal, Swaroop Ghosh, Kaushik Roy 0001 An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-temperature polycrystalline silicon (LTPS), grain boundary (GB), inherent variation, thin-film transistor (TFT), generic, reconfigurable, hybrid system, BIST, DFT, 3D integration
33Pranay Koka, Michael O. McCracken, Herb Schwetman, Xuezhe Zheng, Ron Ho, Ashok V. Krishnamoorthy Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, nanophotonics
33Nicholas Callegari, Dragoljub Gagi Drmanac, Li-C. Wang, Magdy S. Abadir Classification rule learning using subgroup discovery of cross-domain attributes responsible for design-silicon mismatch. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data mining, learning, timing analysis, delay test
33Mahesh Ketkar, Eli Chiprout A microarchitecture-based framework for pre- and post-silicon power delivery analysis. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Jürgen Kogler, Christoph Sulzbachner, Wilfried Kubinger Bio-inspired Stereo Vision System with Silicon Retina Imagers. Search on Bibsonomy ICVS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Kwang-Ting (Tim) Cheng Effective silicon debug is key for time to money. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 Variability-driven module selection with joint design time optimization and post-silicon tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Andrew DeOrio, Adam Bauserman, Valeria Bertacco Post-silicon verification for cache coherence. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Davide Pandini, Giuseppe Desoli, Alessandro Cremonesi Computing and design for software and silicon manufacturing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33R. Takami, Kazuhiro Shimonomura, Seiji Kameda, Tetsuya Yagi An image pre-processing system employing neuromorphic 100×100 pixel silicon retina [robot vision applications]. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Carol Pyron, Rekha Bangalore, Dawit Belete, Jason Goertz, Ashutosh Razdan, Denise Younger Silicon Symptoms to Solutions: Applying Design for Debug Techniques. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Ranjit Singh, Low Lee Ngo, Ho Soon Seng, Frederick Neo Chwee Mok A Silicon Piezoresistive Pressure Sensor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Chung-Yu Wu, Hsin-Chin Jiang An improved BJT-based silicon retina with tunable image smoothing capability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Walter Bohmayr, Alexander Burenkov, Jürgen Lorenz, Heiner Ryssel, Siegfried Selberherr Monte Carlo simulation of silicon amorphization during ion implantation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Takashi Miyazaki, Takao Nishitani, Masato Edahiro, Ikuko Ono, Kaoru Mitsuhashi DCT/IDCT processor for HDTV developed with dsp silicon compiler. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
33Abhay B. Bulsari, Henrik Saxén Artificial Neural Networks for Predicting Silicon Content in Raw Iron From Blast Furnaces. Search on Bibsonomy ICCI The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
30Scott Beamer, Chen Sun 0003, Yong-Jin Kwon, Ajay Joshi, Christopher Batten, Vladimir Stojanovic, Krste Asanovic Re-architecting DRAM memory systems with monolithically integrated silicon photonics. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram architecture, energy-efficiency, silicon photonics
30Lin Xie, Azadeh Davoodi Representative path selection for post-silicon timing prediction under variability. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variations, post-silicon validation
30Qunzeng Liu, Sachin S. Sapatnekar Synthesizing a representative critical path for post-silicon delay prediction. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon optimization, representative critical path
30Scott Beamer, Krste Asanovic, Christopher Batten, Ajay Joshi, Vladimir Stojanovic Designing multi-socket systems using silicon photonics. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-socket, silicon photonics
30Kelageri Nagaraj, Sandip Kundu Process variation mitigation via post silicon clock tuning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon tuning, performance, process variation
30Xiao Liu 0011, Qiang Xu 0001 Interconnection fabric design for tracing signals in post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-based debug, post-silicon validation
30XingLong Guo, Yan Jin, Lei Liu, WeiXia Ouyang, ZongSheng Lai Design and fabrication of miniature antenna based on silicon substrate for wireless communications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF miniature antenna, high-resistivity silicon (HR-Si), IC process
30Nathaniel J. August A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre-silicon, validation, mixed-signal
30Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
30Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
30David Geer Silicon Optics Aims to Combine the Best of Both Worlds. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon optics, optical systems, computing technology
30John R. Long Next-Generation Narrowband RF Front-Ends in Silicon IC Technology. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF narrowband circuits, silicon IC technology, RF design
30Bryan Preas, Massoud Pedram, Don Curry Automatic Layout of Silicon-on-Silicon Hybrid Packages. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
29Rosemary M. Francis, Simon W. Moore FPGAs with time-division multiplexed wiring: an architectural exploration and area analysis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tdm wiring, fpga, routing
29Wei Huang 0004, Kevin Skadron, Sudhanva Gurumurthi, Robert J. Ribando, Mircea R. Stan Differentiating the roles of IR measurement and simulation for power and temperature-aware design. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Pouria Bastani, Kip Killpack, Li-C. Wang, Eli Chiprout Speedpath prediction based on learning from a small set of examples. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF speedpath, learning, timing analysis
29Qing K. Zhu, Paige Kolze Metal Fix and Power Network Repair for SOC. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jean-Pierre Heliot, Florent Parmentier, Marie-Pierre Baron LYS: A Solution for System on Chip (SoC) Production Cost and Time to Volume Reduction. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Gilles-Eric Descamps, Satish Bagalkotkar, Subramaniam Ganesan, Satish Iyengar, Alain Pirson Design of a 17-million gate network processor using a design factory. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Robert P. Colwell, Bob Brennan Intel's Formal Verification Experience on the Willamette Development. Search on Bibsonomy TPHOLs The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Roel Baets, Ananth Z. Subramanian, Stephane Clemmen, Bart Kuyken, Peter Bienstman, Nicolas Le Thomas, Gunther Roelkens, Dries Van Thourhout, Philippe Hélin, Simone Severi Silicon photonics: Silicon nitride versus silicon-on-insulator. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
27Ricky W. Chuang, Mao-Teng Hsu, Shen-Horng Chou, Yao-Jen Lee Silicon Mach-Zehnder Waveguide Interferometer on Silicon-on-Silicon (SOS) Substrate Incorporating the Integrated Three-Terminal Field-Effect Device as an Optical Signal Modulation Structure. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27John U. Knickerbocker, Chirag S. Patel, Paul S. Andry, Cornelia K. Tsang, L. Paivikki Buchwalter, Edmund J. Sprogis, Hua Gan, Raymond R. Horton, Robert J. Polastre, Steven L. Wright, John M. Cotte 3-D Silicon Integration and Silicon Packaging Technology Using Silicon Through-Vias. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27C. K. Wong, Hei Wong, Mansun Chan, Chi-Wah Kok, H. P. Chan Minimizing hydrogen content in silicon oxynitride by thermal oxidation of silicon-rich silicon nitride. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ingvar Åberg Transport in thin-body Metal oxide semiconductor field-effect transistors fabricated in strained silicon and strained silicon/silicon-germanium heterostructures on insulator. Search on Bibsonomy 2006   RDF
27John U. Knickerbocker, Chirag S. Patel, Paul S. Andry, Cornelia K. Tsang, L. Paivikki Buchwalter, Edmund J. Sprogis, Hua Gan, Raymond R. Horton, Robert J. Polastre, Steven L. Wright, Christian D. Schuster, Christian W. Baks, Fuad E. Doany, Joanna Rosner, Steven Cordes Three dimensional silicon integration using fine pitch interconnection, silicon processing and silicon carrier packaging technology. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27M. C. Poon, Y. Gao, Ted Chi-Wah Kok, A. M. Myasnikov, Hei Wong SIMS study of silicon oxynitride prepared by oxidation of silicon-rich silicon nitride layer. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Xiang Zhang, Ahmed Louri A multilayer nanophotonic interconnection network for on-chip many-core communications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, CMP, 3D, silicon photonics
27Yoonjung Yang, Gilsoo Cho Novel Stretchable Textile-Based Transmission Bands: Electrical Performance and Appearance after Abrasion/Laundering, and Wearability. Search on Bibsonomy HCI (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stretchable textile-based transmission band, silicon-coated stainless steel multifilament yarn, abrasion, laundering, electrical resistance, MP3 player jacket, wear sensation, image analysis
27Roto Le, Sherief Reda, R. Iris Bahar High-performance, cost-effective heterogeneous 3D FPGA architectures. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, heterogeneous, 3d ic, switch box, through silicon via
27Weiwu Hu, Jian Wang Making Effective Decisions in Computer Architects' Real-World: Lessons and Experiences with Godson-2 Processor Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF correlation design, balanced design, Pico-architecture design, work-on-silicon, optimized design, superscalar architecture
27Shiyan Hu, Jiang Hu Unified adaptivity optimization of clock and logic signals. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock signal tuning, logic signal tuning, post-silicon tuning, robustness, variation
27T. M. Mak, Sani R. Nassif Guest Editors' Introduction: Process Variation and Stochastic Design and Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon manufacturing processes, adaptive circuits, process variation, process monitoring, subthreshold leakage
27Jari Järvinen, Juha Haataja, Jari Hämäläinen Industrial Applications: Challenges in Modeling and Computing. Search on Bibsonomy PARA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-physics, paper machines, silicon crystals, Modeling, parallel computing, computing, industry, numerical methods, multi-scale
27David Parry 0001 Scalability in computing for today and tomorrow. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synergistic combination, performance growth, current multiprocessor alternatives, scalable SMP, Silicon Graphics Origin multiprocessor, S/sup 2/MP memory architecture, core technologies, scalability, system architecture, shared-memory multiprocessors, shared memory systems
Displaying result #1 - #100 of 9468 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license