The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subcircuits with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1991 (16) 1992-1995 (24) 1996-1998 (15) 1999-2001 (15) 2002-2004 (22) 2005-2006 (17) 2007-2009 (17) 2014-2022 (9)
Publication types (Num. hits)
article(53) inproceedings(82)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 120 occurrences of 97 keywords

Results
Found 135 publication records. Showing 135 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
69Irith Pomeranz, Sudhakar M. Reddy On the feasibility of fault simulation using partial circuit descriptions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF partial circuit description, gate-level circuits, subcircuits, logic testing, fault simulation, fault simulation, memory requirements
57Dhruva R. Chakrabarti, Ajai Jain An improved hierarchical test generation technique for combinational circuits with repetitive sub-circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical test generation technique, repetitive subcircuits, hierarchical testing algorithm, bus fault model, high-level subcircuits, high level incompatibility, test generation time, complete fault coverage, computational complexity, fault diagnosis, logic testing, high level synthesis, design for testability, design for testability, ATPG, combinational circuits, combinational circuits, logic CAD, automatic test software, signal flow graphs, state transition graph
55Itsuo Takanami, Tadayoshi Horita Self-reconstruction of mesh-arrays with 1 1/2 -track switches by digital neural circuits. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self-reconstruction, digital neural circuits, Hopfield-type neural algorith, 1 1/2 -track switches, compensation paths, subcircuits, stable state, parallel state transitions, VLSI, mesh-connected processor arrays
55Albrecht P. Stroele Signature analysis and aliasing for sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF built-in self-test techniques, test registers, subcircuits, irreducible characteristic polynomial, limiting value, fault diagnosis, logic testing, built-in self test, integrated circuit testing, sequential circuits, sequential circuits, aliasing, signature analysis, shift registers, test lengths
54Zurab Khasidashvili, Marcelo Skaba, Daher Kaiss, Ziyad Hanna Theoretical framework for compositional sequential hardware equivalence verification in presence of design constraints. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Kai Zhang, Tsuyoshi Shinogi, Haruhiko Takase, Terumine Hayashi A Method for Evaluating Upper Bound of Simultaneous Switching Gates Using Circuit Partition. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
54Dimitrios Kagaris, Spyros Tragoudas, Dimitrios Karayiannis Improved nonenumerative path-delay fault-coverage estimation based on optimal polynomial-time algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54Norbert Fröhlich, Rolf Schlagenhaft, Josef Fleischmann A New Approach for Partitioning VLSI Circuits on Transistor Level. Search on Bibsonomy Workshop on Parallel and Distributed Simulation The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien Spectral-based multiway FPGA partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
54Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien Spectral-Based Multi-Way FPGA Partitioning. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
44Adam Slowik, Michal Bialko Partitioning of VLSI Circuits on Subcircuits with Minimal Number of Connections Using Evolutionary Algorithm. Search on Bibsonomy ICAISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Peter Feldmann, Frank Liu 0001 Sparse and efficient reduced order modeling of linear subcircuits with large number of terminals. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Andrzej Krasniewski Exploiting Reconfigurability for Effective Testing of Delay Faults in Sequential Subcircuits of LUT-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Raymond R. Hoare, Ivan S. Kourtev, Alex K. Jones Technology Mapping for Field Programmable Gate Arrays using Content-Addressable Memory (CAM). Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Shanq-Jang Ruan, Kun-Lin Tsai, Edwin Naroska, Feipei Lai Bipartitioning and encoding in low-power pipelined circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Low-power design
41Travis E. Doom, Jennifer L. White, Anthony S. Wojcik, Gregory H. Chisholm Identifying High-Level Components in Combinational Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Reengineering, Design Recovery
41Wen-Ben Jone, Christos A. Papachristou A coordinated circuit partitioning and test generation method for pseudo-exhaustive testing of VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
41Jos T. J. van Eijndhoven, M. T. van Stiphout, H. W. Buurman Multirate integration in a direct simulation method. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Multirate Integration, Timing, Circuit Simulation
30Irith Pomeranz Selecting Path Delay Faults Through the Largest Subcircuits of Uncovered Lines. Search on Bibsonomy ATS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Yuxiang Huang, Leonid Belostotski Extraction of Electrical- and Noise-Parameters of Fully-Differential-Amplifier Subcircuits. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30André Lange, Fabio A. Velarde Gonzalez, Insaf Lahbib, Sonja Crocoll Comparison of modeling approaches for transistor degradation: model card adaptations vs subcircuits. Search on Bibsonomy ESSDERC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Thiago Ferreira de Paiva Leite, Laurent Fesquet, Rodrigo Possamai Bastos A body built-in cell for detecting transient faults and dynamically biasing subcircuits of integrated systems. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Alexis Rodrigo Iga Jadue, Rodrigo Possamai Bastos, Thiago Ferreira de Paiva Leite, Otto Aureliano Rolloff, M. Diallo, Laurent Fesquet Level Shifter Architecture for Dynamically Biasing Ultra-Low Voltage Subcircuits of Integrated Systems. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Patrick Sittel, Martin Kumm, Konrad Möller, Martin Hardieck, Peter Zipf High-Level Synthesis for Model-Based Design with Automatic Folding including Combined Common Subcircuits. Search on Bibsonomy MBMV The full citation details ... 2017 DBLP  BibTeX  RDF
30Konrad Möller, Martin Kumm, Charles-Frederic Müller, Peter Zipf Model-based Hardware Design for FPGAs using Folding Transformations based on Subcircuits. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
30Thomas Schmid 0003, Dorothee Günzel, Martin Bogdan Automated Quantification of the Relation between Resistor-capacitor Subcircuits from an Impedance Spectrum. Search on Bibsonomy BIOSIGNALS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Ranjan Mehera, Arpan Chakrabarty, Piyali Datta, Rajat Kumar Pal A 2D Guard Zone Computation Algorithm for Reassignment of Subcircuits to Minimize the Overall Chip Area. Search on Bibsonomy ACSS (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Kosuke Shioki, Narumi Okada, Toshiro Ishihara, Tetsuya Hirose, Nobutaka Kuroki, Masahiro Numa An Error Diagnosis Technique Based on Location Sets to Rectify Subcircuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Andrzej Krasniewski On the Set of Target Path Delay Faults in Sequential Subcircuits of LUT-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Jennifer L. White, Anthony S. Wojcik, Moon-Jung Chung, Travis E. Doom Candidate subcircuits for functional module identification in logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Seiji Funaba, Akihiro Kitagawa, Toshiro Tsukada, Goichi Yokomizo A Fast and Accurate Method of Redesigning Analog Subcircuits for Technology Scaling. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Peter Feldmann, Roland W. Freund Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Miles Ohlrich, Carl Ebeling, Eka Ginting, Lisa Sather SubGemini: Identifying SubCircuits using a Fast Subgraph Isomorphism Algorithm. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Patrick Odent, Luc J. M. Claesen, Hugo De Man Feedback Loops and Large Subcircuits in the Multiprocessor Implementation of a Relaxation Based Circuit Simulator. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
30Graziano Frosini, Giovanni B. Gerace Synthesis of Asynchronous Sequential Circuits with Master-Slave Subcircuits Search on Bibsonomy SWAT The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
27Duo Li, Sheldon X.-D. Tan Hierarchical Krylov subspace reduced order modeling of large RLC circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Postplacement rewiring by exhaustive search for functional symmetries. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, placement, rewiring
27Eugene Goldberg, Kanupriya Gulati, Sunil P. Khatri Toggle Equivalence Preserving (TEP) Logic Optimization. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Nikolay Rubanov A High-Performance Subcircuit Recognition Method Based on the Nonlinear Graph Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Cheoljoo Jeong, Steven M. Nowick Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mukesh Ranjan, Ranga Vemuri Exact hierarchical symbolic analysis of large analog networks using a general interconnection template. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Eugene Goldberg On equivalence checking and logic synthesis of circuits with a common specification. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF common specification, scalable equivalence checking, scalable logic synthesis, toggle equivalence
27B. Ali, A. E. A. Almaini, Tatiana Kalganova Evolutionary Algorithms and Theirs Use in the Design of Sequential Logic Circuits. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic algorithm, sequential circuits, evolvable hardware, state assignment
27Lei Yang 0019, C.-J. Richard Shi FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Thomas Brandtner, Robert Weigel Hierarchical Simulation of Substrate Coupling in Mixed-Signal ICs Considering the Power Supply Network. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Design, Test, Low-power Design, Energy Consumption
27Josef Eckmüller, Martin Groepl, Helmut E. Graeb Hierarchical Characterization of Analog Integrated CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hierarchical characterization, circuit class, topology independent, transistor pairs, circuit performances, functional constraints
27Narayanan Vijaykrishnan, N. Ranganathan SUBGEN: a genetic approach for subcircuit extraction. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SUBGEN model, subcircuit extraction, large circuit graph, genetic algorithms, genetic algorithm, graph theory, computer-aided design, integrated circuit design, circuit CAD, CMOS integrated circuits, CMOS circuit, integrated circuit modelling
27Toshinobu Ono Selecting partial scan flip-flops for circuit partitioning. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Valentino Liberali, Victor da Fonte Dias, M. Ciapponi, Franco Maloberti TOSCA: a simulator for switched-capacitor noise-shaping A/D converters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Gih-Guang Hung, Yen-Cheng Wen, Kyle A. Gallivan, Resve A. Saleh Improving the performance of parallel relaxation-based circuit simulators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Mehrdad Bidjan-Irani A Rule-Based Design-for-Testability Rule Checker. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
27Antony P.-C. Ng, V. Visvanathan A Framework for Scheduling Multi-Rate Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Wen-Ben Jone, Christos A. Papachristou, M. Pereira A Scheme for Overlaying Concurrent Testing of VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27R. D. Freeman, S. M. Kang, C. G. Lin-Hendel, M. L. Newby Automated extraction of SPICE circuit models from symbolic gate matrix layout with pruning. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF SPICE
14Yokesh Kumar, Prosenjit Gupta External memory layout vs. schematic. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF verification of layouts, Graph, design automation, external memory algorithms, subgraph isomorphism
14Po-Yuan Chen, Chiao-Chen Fang, TingTing Hwang, Hsi-Pin Ma Leakage reduction, delay compensation using partition-based tunable body-biasing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, process variations, leakage current, Body biasing
14Bernhard K. Aichernig, Farhad Arbab, Lacramioara Astefanoaei, Frank S. de Boer, Sun Meng, Jan J. M. M. Rutten Fault-Based Test Case Generation for Component Connectors. Search on Bibsonomy TASE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14N. Pete Sedcole, Peter Y. K. Cheung Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modeling, FPGA, Delay, reconfiguration, process variation, yield
14Stephen Plaza, Igor L. Markov, Valeria Bertacco Optimizing Nonmonotonic Interconnect Using Functional Simulation and Logic Restructuring. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Marc Boule, Zeljko Zilic Automata-based assertion-checker synthesis of PSL properties. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF assertion checkers, emulation, hardware, automata, PSL, Assertion-Based Verification
14Stephen Plaza, Igor L. Markov, Valeria Bertacco Optimizing non-monotonic interconnect using functional simulation and logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Michal Pavlik, Michal Kuban, Radimir Vrba Switched Current Flash Analog to Digital Converter. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Switched current, AD Converter, flash converter, Sigma Delta modulator
14Andrew C. Ling, Deshanand P. Singh, Stephen Dean Brown FPGA PLB Architecture Evaluation and Area Optimization Techniques Using Boolean Satisfiability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Angan Das, Ranga Vemuri An Automated Passive Analog Circuit Synthesis Framework using Genetic Algorithms. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14N. Pete Sedcole, Peter Y. K. Cheung Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modelling, FPGA, delay, reconfiguration, process variation, yield
14Natalie Nakhla, Michel S. Nakhla, Ramachandra Achar Sparse and passive reduction of massively coupled large multiport interconnects. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jaehyun Kim, Youngsoo Shin Minimizing leakage power in sequential circuits by using mixed Vt flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Lihong Zhang, Ulrich Kleine, Yingtao Jiang An automated design tool for analog layouts. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Stelios Neophytou, Maria K. Michael, Spyros Tragoudas Functions for Quality Transition-Fault Tests and Their Applications in Test-Set Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Aditya K. Prasad, Vivek V. Shende, Igor L. Markov, John P. Hayes, Ketan N. Patel Data structures and algorithms for simplifying reversible circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Circuit simplification, circuit libraries, optimal subcircuit
14Valavan Manohararajah, Stephen Dean Brown, Zvonko G. Vranesic Adaptive FPGAs: High-Level Architecture and a Synthesis Method. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Chun-Jung Chen, Chih-Jen Lee, Jung-Lang Yu, Tai-Ning Yang A Backward-Traversing Method for Subcircuit Scheduling of Relaxation-Based Circuit Simulation. Search on Bibsonomy ICICIC (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Tathagato Rai Dastidar, P. P. Chakrabarti 0001, Partha Ray A synthesis system for analog circuits based on evolutionary search and topological reuse. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Fatih Kocan, Mehmet Hadi Gunes On the ZBDD-based nonenumerative path delay fault coverage calculation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Nikolay Rubanov An efficient subcircuit recognition using the nonlinear graph matching. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF subcircuit recognition, graph matching, design verification
14Eugene Goldberg Equivalence Checking of Circuits with Parameterized Specifications. Search on Bibsonomy SAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Nele V. T. D'Halleweyn, James Benson, William Redman-White, Ketan Mistry, M. Swanenberg MOOSE: a physically based compact DC model of SOI LD MOSFETs for analogue circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Sérgio Vale Aguiar Campos, Orna Grumberg, Karen Yorav, Fady Copty Test sequence generation and model checking using dynamic transition relations. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Binary decision diagrams, Symbolic model checking, Test sequence generation
14Yijun Liu, Stephen B. Furber Minimizing the Power Consumption of an Asynchronous Multiplier. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Sambuddha Bhattacharya, Nuttorn Jangkrajarng, Roy Hartono, C.-J. Richard Shi Hierarchical extraction and verification of symmetry constraints for analog layout automation. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hisanao Akima, Shigeo Sato, Koji Nakajima Design of Single Electron Circuitry for a Stochastic Logic Neural Network. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Marc A. Viredaz, Deborah A. Wallach Power Evaluation of a Handheld Computer. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Raimund Ubar Design Error Diagnosis with Re-Synthesis in Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test generation, diagnosis, fault simulation, design error
14Raul Baños, Consolación Gil, Maria Dolores Gil Montoya, Julio Ortega Lopera A Parallel Evolutionary Algorithm for Circuit Partitioning. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Mehrdad Eslami Dehkordi, Stephen Dean Brown Recursive circuit clustering for minimum delay and area. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Sheldon X.-D. Tan A General S-Domain Hierarchical Network Reduction Algorithm. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Feng Gao 0017, John P. Hayes ILP-based optimization of sequential circuits for low power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, finite-state machine, decomposition, integer linear programming
14Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Multiple Full-Scan Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Yoshihiro Yamagami, Yoshifumi Nishio, Atsumi Hattori, Akio Ushida A reduction technique of large scale RCG interconnects in complex frequency domain. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Frederic Green The Correlation Between Parity and Quadratic Polynomials Mod 3. Search on Bibsonomy CCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Shanq-Jang Ruan, Edwin Naroska, Yen-Jen Chang, Chia-Lin Ho, Feipei Lai Energy analysis of bipartition architecture for pipelined circuits. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Irith Pomeranz, Sudhakar M. Reddy Resynthesis of combinational logic circuits for improved path delay fault testability using comparison units. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Wei-Hsin Chang, Shuenn-Der Tzeng, Chen-Yi Lee A novel subcircuit extraction algorithm by recursive identification scheme. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Yngvar Berg, Snorre Aunet, Øivind Næss, Henning Gundersen, Mats Høvin Extreme low-voltage floating-gate CMOS transconductance amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Tobias Schüle, Albrecht P. Stroele Scheduling tests for low power built-in self-test. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Mahmoud Al-Nsour, Hoda S. Abdel-Aty-Zohdy MOS fully analog reinforcement neural network chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Tobias Schüle, Albrecht P. Stroele Test Scheduling for Minimal Energy Consumption under Power Constraints. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Pasquale Corsonello, Stefania Perri, G. Cororullo Area-time-power tradeoff in cellular arrays VLSI implementations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 135 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license