The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase zero-skew (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1995 (15) 1996-1999 (16) 2000-2003 (16) 2004-2005 (15) 2006-2007 (15) 2008-2020 (15)
Publication types (Num. hits)
article(25) inproceedings(67)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 77 occurrences of 42 keywords

Results
Found 92 publication records. Showing 92 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
95Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
89Joe G. Xi, Wayne Wei-Ming Dai Jitter-tolerant clock routing in two-phase synchronous systems. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Deferred-Merge Embedding framework, clock jitter, clock tree cost, jitter-tolerance, jitter-tolerant clock routing, near-zero skew, nonoverlapping interval, safety margin, system operating conditions, two-phase clocking, two-phase jitter-tolerant useful-skew tree, two-phase synchronous systems, simulated annealing, manufacturing, jitter, zero skew
85Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
81Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee Zero-Skew Driven for RLC Clock Tree Construction in SoC. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RLC delay model, Upward propagation, SoC, Clock tree, Zero skew
76Yu Chen 0005, Andrew B. Kahng, Gang Qu 0001, Alexander Zelikovsky The associative-skew clock routing problem. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
72José Luis Neves, Eby G. Friedman Buffered Clock Tree Synthesis with Non-Zero Clock Skew Scheduling for Increased Tolerance to Process Parameter Variations. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
71Chung-Wen Albert Tsao, Cheng-Kok Koh UST/DME: a clock tree router for general skew constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Useful Skew, feasible skew range, incremental skew scheduling, merging and embedding, merging region, clock tree
68Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing with Gate Sizing for Low Power Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
68Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria Zero skew differential clock distribution network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
66Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu A single layer zero skew clock routing in X architecture. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single layer, X architecture, zero skew, clock routing
65Alexander Zelikovsky, Ion I. Mandoiu Practical approximation algorithms for zero- and bounded-skew trees. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
64Chia-Chun Tsai, Jan-Ou Wu, Yu-Ting Shieh, Chung-Chieh Kuo, Trong-Yen Lee Tapping Point Numerical-Based Search for Exact Zero-Skew RLC Clock Tree Construction. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
64Ren-Song Tsay An exact zero-skew clock routing algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
60Wei-Chung Chao, Wai-Kei Mak Low-power gated and buffered clock network construction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, buffer, clock gating, Clock tree, zero-skew
56Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Robust Clock Tree Routing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Statistical clock tree routing for robustness to process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, robustness, process variations, clock tree
55Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Quadratic deferred-merge embedding algorithm for zero skew clock distribution network. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, clock distribution network, zero skew
53Jeng-Liang Tsai, Charlie Chung-Ping Chen Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Chia-Ming Chang 0002, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang 0002, Yu-Sheng Lu Type-matching clock tree for zero skew clock gating. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, gated clock, clock network synthesis
51Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bounded-skew, pathlength delay, VLSI, global routing, Elmore delay, zero-skew, zero-skew, clock routing, routing trees
49Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Process-induced skew reduction in nominal zero-skew clock trees. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 Minimal skew clock embedding considering time variant temperature gradient. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock tree design, compact parameterization, parameterized perturbation, thermal management
41Anand Rajaram, Jiang Hu, Rabi N. Mahapatra Reducing clock skew variability via cross links. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI, physical design, variation, clock network synthesis
41Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu Planar-CRX: A Single-Layer Zero Skew Clock Routing in X-Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Andrew B. Kahng, Chung-Wen Albert Tsao Planar-DME: a single-layer zero-skew clock tree router. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
41Nan-Chi Chou, Chung-Kuan Cheng On general zero-skew clock net construction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
38Shen Lin, C. K. Wong Process-variation-tolerant clock skew minimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Jean Ponce On Computing Metric Upgrades of Projective Reconstructions Under the Rectangular Pixel Assumption. Search on Bibsonomy SMILE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Zhuo Li 0001, Nancy Ying Zhou, Weiping Shi Wire Sizing for Non-Tree Topology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Bing Lu, Jiang Hu, Gary Ellis, Haihua Su Process variation aware clock tree routing. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, interconnect, physical design, clock tree synthesis
34Mohamed Nekili, Yvon Savaria, Guy Bois Minimizing process-induced skew using delay tuning. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Vineet Wason, Rajeev Murgai, William W. Walker An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Rishi Chaturvedi, Jiang Hu Buffered Clock Tree for High Quality IC Design. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Rishi Chaturvedi, Jiang Hu A Simple Yet Effective Merging Scheme for Prescribed-Skew Clock Routing. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Xinjie Wei, Yici Cai, Xianlong Hong Zero skew clock routing with tree topology construction using simulated annealing method. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong Legitimate Skew Clock Routing with Buffer Insertion. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF legitimate skew, buffer insertion, clock routing
26Min-Seok Kim, Jiang Hu Associative skew clock routing for difficult instances. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Yu-Min Lee, Hing Yin Lai, Charlie Chung-Ping Chen Optimal spacing and capacitance padding for general clock structures. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Mohamed Nekili, Yvon Savaria, Guy Bois Design of Clock Distribution Networks in Presence of Process Variations. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process variations, clock skew, clock distribution
26Mitsuho Seki, Kenji Inoue, Kazuo Kato, Kouki Tsurusaki, Shin'ichi Fukasawa, Hitoshi Sasaki, Mutsuhito Aizawa A specified delay accomplishing clock router using multiple layers. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui, Atsushi Takahashi 0001 A practical clock tree synthesis for semi-synchronous circuits. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock-input timing, environmental and manufacturing conditions, semi-synchronous, various timing clock tree, zero skew clock tree, clock scheduling
25Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF (inter)connection, boundary merging and embedding, bounded-skew, interior merging and embedding, merging region, merging segment, pathlength delay, VLSI, low power, synchronization, Steiner tree, clock tree, Elmore delay, zero-skew
23Andrew B. Kahng, Chung-Wen Albert Tsao Low-cost single-layer clock trees with exact zero Elmore delay skew. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity and register placement aware gated clock network design. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gated clock tree, low power, placement
19Amir H. Ajami, Kaustav Banerjee, Massoud Pedram Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Shahin Nazarian, Massoud Pedram, Emre Tuncer An empirical study of crosstalk in VDSM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG) tool, crosstalk induced slowdown and speedup, transition time, static timing analysis (STA), skew
19Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Navigating registers in placement for clock network minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, placement, clock network, variation tolerance
19Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Post-processing of clock trees via wiresizing and buffering for robust design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Wei Wang, Vasilis F. Pavlidis, Yuanqing Cheng Zero-skew Clock Network Synthesis for Monolithic 3D ICs with Minimum Wirelength. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Shih-Hsu Huang, Wen-Pin Tu, Chia-Ming Chang 0002, Song-Bin Pan Low-power anti-aging zero skew clock gating. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Adlane Habed, Kassem Al Ismaeil, David Fofi A New Set of Quartic Trivariate Polynomial Equations for Stratified Camera Self-calibration under Zero-Skew and Constant Parameters Assumptions. Search on Bibsonomy ECCV (6) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Chung-Chieh Kuo, Chia-Chun Tsai, Trong-Yen Lee Pattern-matching-based X-architecture zero-skew clock tree construction with X-Flip technique and via delay consideration. Search on Bibsonomy Integr. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Shih-Hsu Huang, Chia-Ming Chang 0002, Wen-Pin Tu, Song-Bin Pan Critical-PMOS-aware clock tree design methodology for anti-aging zero skew clock gating. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu Zero skew clock routing in X-architecture based on an improved greedy matching algorithm. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee GDME: Grey Relational Clustering Applied to a Clock Tree Construction with Zero Skew and Minimal Delay. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jan-Ou Wu, Chia-Chun Tsai, Chung-Chieh Kuo, Trong-Yen Lee Zero-Skew Driven Buffered RLC Clock Tree Construction. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18I-Min Liu, Tan-Li Chou, Adnan Aziz, D. F. Wong 0001 Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Y. P. Chen, D. F. Wong 0001 An Algorithm for Zero-Skew Clock Tree Routing with Buffer Insertion. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18José Luis Neves, Eby G. Friedman Minimizing Power Dissipation in Non-Zero Skew-Based Clock Distribution Networks. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  BibTeX  RDF
18Chung-Wen Albert Tsao, Andrew B. Kahng Planar-DME: improved planar zero-skew clock routing with minimum pathlength delay. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Masato Edahiro An Efficient Zero-Skew Routing Algorithm. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Masato Edahiro A Clustering-Based Optimization Algorithm in Zero-Skew Routings. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Satyamurthy Pullela, Noel Menezes, Lawrence T. Pillage Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Wasim Khan, Moazzem Hossain, Naveed A. Sherwani Zero skew clock routing in multiple-clock synchronous systems. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Ying-Meng Li, Marwan A. Jabri A zero-skew clock routing scheme for VLSI circuits. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Ting-Hai Chao, Yu-Chin Hsu, Jan-Ming Ho Zero Skew Clock Net Routing. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
18Ren-Song Tsay Exact Zero Skew. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Kevin Köser, Christian Beder, Reinhard Koch Conjugate rotation: Parameterization and estimation from an affine feature correspondence. Search on Bibsonomy CVPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Henrik Aanæs, Klas Josephson, Francois Anton, Jakob Andreas Bærentzen, Fredrik Kahl Camera Resectioning from a Box. Search on Bibsonomy SCIA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chia-Chun Tsai, Chung-Chieh Kuo, Jan-Ou Wu, Trong-Yen Lee, Rong-Shue Hsiao X-clock routing based on pattern matching. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yanfeng Wang, Qiang Zhou 0001, Xianlong Hong, Yici Cai Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Xiaochun Cao, Hassan Foroosh Camera Calibration Using Symmetric Objects. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Michal Perdoch, Jiri Matas, Ondrej Chum Epipolar Geometry from Two Correspondences. Search on Bibsonomy ICPR (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xianghua Ying, Hongbin Zha Interpreting Sphere Images Using the Double-Contact Theorem. Search on Bibsonomy ACCV (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xianghua Ying, Hongbin Zha Linear Approaches to Camera Calibration from Sphere Images or Active Intrinsic Calibration Using Vanishing Points. Search on Bibsonomy ICCV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Xiaochun Cao, Hassan Foroosh Camera calibration without metric information using 1D objects. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xiaochun Cao, Hassan Foroosh Simple Calibration Without Metric Information Using an Isoceles Trapezoid. Search on Bibsonomy ICPR (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yan Li, Yeung Sam Hung A Stratified Self-Calibration Method for a Stereo Rig in Planar Motion with Varying Intrinsic Parameters. Search on Bibsonomy DAGM-Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Adlane Habed, Boubakeur Boufama Self-Calibration of a Simplified Camera Using Kruppa Equations. Search on Bibsonomy CRV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001, Ali Keshavarzi Within Die Thermal Gradient Impact on Clock-Skew: A New Type of Delay-Fault Mechanism. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Lior Wolf, Assaf Zomet Sequence-to-Sequence Self Calibration. Search on Bibsonomy ECCV (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-View Invariants, Self-Calibration
12Jaewon Oh, Massoud Pedram Gated clock routing for low-power microprocessor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Amir H. Farrahi, Chunhong Chen, Ankur Srivastava 0001, Gustavo E. Téllez, Majid Sarrafzadeh Activity-driven clock design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yongduek Seo, Anders Heyden, Roberto Cipolla A Linear Iterative Method for Auto-Calibration using the DAC Equation. Search on Bibsonomy CVPR (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yongduek Seo, Anders Heyden Auto-Calibration from the Orthogonality Constraints. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Lourdes de Agapito, Eric Hayman, Richard I. Hartley Linear Self-Calibration of a Rotating and Zooming Camera. Search on Bibsonomy CVPR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF varying intrinsic parameters, rotating camera, linear method, zooming camera, self-calibration
12Jaewon Oh, Massoud Pedram Gated Clock Routing Minimizing the Switched Capacitance. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF gated clock routing, low power
12Atsushi Takahashi 0001, Kazunori Inoue, Yoji Kajitani Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-tree routing, semi-synchronous, deferred-merge-embedding (DME), synchronous, buffer insertion, buffer sizing, clock-schedule
12Robert J. Carragher, Chung-Kuan Cheng, Xiao-Ming Xiong, Masahiro Fujita, Ramamohan Paturi Solving the net matching problem in high-performance chip design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Masato Edahiro, Richard J. Lipton Clock Buffer Placement Algorithm for Wire-Delay-Dominated Timing Model. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VLSI, CAD, Placement, Layout, Buffer, Clock
Displaying result #1 - #92 of 92 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license