The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Retiming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (31) 1994 (23) 1995 (32) 1996 (29) 1997 (23) 1998 (23) 1999 (29) 2000 (16) 2001 (17) 2002 (21) 2003 (25) 2004 (32) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009-2010 (22) 2011-2012 (16) 2013-2014 (16) 2015-2016 (18) 2017-2019 (19) 2020-2023 (9)
Publication types (Num. hits)
article(153) incollection(4) inproceedings(321) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 451 occurrences of 214 keywords

Results
Found 481 publication records. Showing 481 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
46Otmane Aït Mohamed, Eduard Cerny, Xiaoyu Song MDG-based Verification by Retiming and Combinational Transformations. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Circuit Transformations, Non-termination, Formal Verification, Retiming, Multiway Decision Graphs
46Larry R. Dennison, William J. Dally, Thucydides Xanthopoulos Low-latency plesiochronous data retiming. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telecommunication signalling, data retiming, plesiochronous data, support circuitry, undirectional signalling, timing, latency, communication networks, routers, telecommunication network routing, repeaters, repeaters, bridges, hubs
45Noureddine Chabini, Wayne H. Wolf An approach for reducing dynamic power consumption in synchronous sequential digital designs. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha Timing Optimization of Nested Loops Considering Code Size for DSP Applications. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Dmitry Bufistov, Jordi Cortadella, Marc Galceran Oms, Jorge Júlvez, Michael Kishinevsky Retiming and recycling for elastic systems with early evaluation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF early evaluation, elastic systems, optimization
41Khurram Waheed, Robert Bogdan Staszewski, John L. Wallberg Injection Spurs due to Reference Frequency Retiming by a Channel Dependent Clock at the ADPLL RF Output and its Mitigation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Mongkol Ekpanyapong, Thaisiri Watewai, Sung Kyu Lim Statistical Bellman-Ford algorithm with an application to retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Noureddine Chabini, Wayne H. Wolf Unification of scheduling, binding, and retiming to reduce power consumption under timings and resources constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Robert Fischer 0002, Klaus Buchenrieder, Ulrich Nageldinger Reducing the Power Consumption of FPGAs through Retiming. Search on Bibsonomy ECBS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Zhan Yu, Kei-Yong Khoo, Alan N. Willson Jr. Optimal joint module-selection and retiming with carry-save representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Chris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu Retiming with Interconnect and Gate Delay. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Chuan Lin 0002, Hai Zhou 0001 Retiming for Wire Pipelining in System-On-Chip. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Pasin Israsena, S. Summerfield Bit-level retiming of high-speed digital recursive filters. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Gianpiero Cabodi, Stefano Quer, Fabio Somenzi Optimizing sequential verification by retiming transformations. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Srimat T. Chakradhar, Sujit Dey Resynthesis and retiming for optimum partial scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Priyank Kalla, Maciej J. Ciesielski Performance Driven Resynthesis by Exploiting Retiming-Induced State Register Equivalence. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Prashant Saxena, Peichen Pan, C. L. Liu 0001 The Retiming of Single-Phase Clocked Circuits Containing Level-Sensitive Latches. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Peichen Pan, Arvind K. Karandikar, C. L. Liu 0001 Optimal clock period clustering for sequential circuits with retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
41Peichen Pan, Chih-Chang Lin A New Retiming-Based Technology Mapping Algorithm for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Jason Cong, Chang Wu FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Sharad Malik, Ellen M. Sentovich, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Retiming and resynthesis: optimizing sequential networks with combinational techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
39Duo Liu, Zili Shao, Meng Wang 0005, Minyi Guo, Jingling Xue Optimal loop parallelization for maximizing iteration-level parallelism. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF iteration-level parallelism, retiming, loop transformation, loop parallelization, data dependence graph
39François R. Boyer, El Mostapha Aboulhamid, Yvon Savaria, Michel Boyer Optimal design of synchronous circuits using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software pipelining, retiming, Resynthesis
39Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
37Ken Museth Retiming of fluid simulations for VFX: distributed non-linear fluid retiming by sparse bi-directional advection-diffusion. Search on Bibsonomy SIGGRAPH Talks The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
35Aaron P. Hurst, Alan Mishchenko, Robert K. Brayton Scalable min-register retiming under timing and initializability constraints. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF min-area, retiming, initial state, sequential optimization
33Miao Li, Wenjie Huang, Tad A. Kwasniewski, Shoujun Wang A 0.18µm CMOS transceiver design for high-speed backplane data communications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Xun Liu, Marios C. Papaefthymiou, Eby G. Friedman Minimizing Sensitivity to Delay Variations in High-Performance Synchronous Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Rajeev K. Ranjan 0001, Vigyan Singhal, Fabio Somenzi, Robert K. Brayton Using Combinational Verification for Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Dimitrios Kagaris, Spyros Tragoudas, Dinesh Bhatia Pseudo-exhaustive built-in TPG for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Lei Wang 0011, Zhiying Wang 0003, Kui Dai Performance Bound Analysis and Retiming of Timed Circuits. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Ming Su, Lili Zhou, C.-J. Richard Shi Maximizing the throughput-area efficiency of fully-parallel low-density parity-check decoding with C-slow retiming and asynchronous deep pipelining. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Hai Zhou 0001 Deriving a new efficient algorithm for min-period retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Chien-Hsun Tseng, Stuart Lawson Full parallel process for multidimensional wave digital filtering via multidimensional retiming technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli Combining Retiming and Recycling to Optimize the Performance of Synchronous Circuits. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Aiman H. El-Maleh, Yahya E. Osais A retiming-based test pattern generator design for built-in self test of data path architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Jason Cong, Sung Kyu Lim, Chang Wu Performance driven multi-level and multiway partitioning with retiming. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Jason Cong, Chang Wu An efficient algorithm for performance-optimal FPGA technology mapping with retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Vinoo Srinivasan, Ranga Vemuri A Retiming Based Relaxation Heuristic for Resource-Constrained Loop Pipelining. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Dirk Eisenbiegler, Ramayya Kumar, Christian Blumenröhr A constructive approach towards correctness of synthesis-application within retiming. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30G. N. Srinivasa Prasanna Compilation of Parallel Multimedia Computations - Extending Retiming Theory and Amdahl's Law. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Balakrishnan Iyer, Maciej J. Ciesielski Metamorphosis: state assignment by retiming and re-encoding. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Sequential Logic, Finite State Machine, Logic Synthesis, State Assignment, State Encoding
30Jason Cong, Chang Wu An Improved Algorithm for Performance Optimal Technology Mapping with Retiming in LUT-Based FPGA Desig. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Sharad Malik, Kanwar Jit Singh, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Performance optimization of pipelined logic circuits using peripheral retiming and resynthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Hui Liu 0006, Zili Shao, Meng Wang 0005, Junzhao Du, Chun Jason Xue, Zhiping Jia Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Periodic dependent tasks, Scheduling, Multimedia, Real-time, Dynamic voltage scaling (DVS), Multi-core, Software pipelining, Retiming
28Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiphase, sequential circuit, software pipelining, clock, Retiming
28Ying Yi, Roger F. Woods, Lok-Kee Ting, C. F. N. Cowan High Speed FPGA-Based Implementations of Delayed-LMS Filters. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delayed LMS filters, retiming technique, hardware sharing, FPGA, adaptive filtering
28Hsueh-Chih Yang, Lan-Rong Dung On multiple-voltage high-level synthesis using algorithmic transformations. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF loop shrinking, multiple voltage scheduling, high-level synthesis, retiming, unfolding, low power circuit
28Qingfeng Zhuge, Bin Xiao 0001, Edwin Hsing-Mean Sha Code size reduction technique and implementation for software-pipelined DSP applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, software pipelining, Retiming, DSP processors
28Qingfeng Zhuge, Zili Shao, Bin Xiao 0001, Edwin Hsing-Mean Sha Design space minimization with timing and code size optimization for embedded DSP. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF retiming, unfolding, code size reduction, DSP processors
28Jason Cong Timing closure based on physical hierarchy. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect planning, logic hierarchy, physical hierarchy, retiming and pipelining, sequential arrival time, interconnect optimization, timing closure, multilevel optimization
28Bin Xiao 0001, Zili Shao, Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Qingfeng Zhuge Optimal Code Size Reduction for Software-Pipelined and Unfolded Loops. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF rotation scheduling, software pipelining, retiming, unfolding
28Alain Darte, Guillaume Huard Complexity of Multi-dimensional Loop Alignment. Search on Bibsonomy STACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Complexity, Program Transformation, Retiming, Automatic Parallelization, Loop Optimization
28Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha Optimal Code Size Reduction for Software-Pipelined Loops on DSP Applications. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Scheduling, Software pipelining, Retiming, DSP processors
28Cagdas Akturan, Margarida F. Jacome RS-FDRA: a register sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, software pipelining, retiming, optimizing compilers, VLIW processors
28Kumar N. Lalgudi, Marios C. Papaefthymiou, Miodrag Potkonjak Optimizing computations for effective block-processing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF computation dataflow graphs, scheduling, embedded systems, combinatorial optimization, high-level synthesis, vectorization, integer linear programming, retiming
28Dominik Stoffel, Wolfgang Kunz Record & play: a structural fixed point iteration for sequential circuit verification. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF circuit resynthesis, circuit retiming, combinational verification techniques, instruction queue, iterative circuit array, local circuit transformation, sequential circuit verification, sequential logic equivalence checking, structural fixed point iteration, time frame equivalence, logic testing, finite state machine, logic design
28Edwin Rijpkema, Gerben J. Hekstra, Ed F. Deprettere, Jun Ma 0011 A strategy for determining a Jacobi specific dataflow processor. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Jacobi specific dataflow processor, Jacobi algorithms, real-lime adaptive signal processing applications, quasi regularity property, dependence graph representations, exploration iteration, processor template, mapper, hierarchical exploration method, mapping efficiency, lookahead techniques, pipelining, retiming, adaptive signal processing, application domain, array processing
28Guy Even, Ami Litman Overcoming chip-to-chip delays and clock skews. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF chip-to-chip delays, chip-to-chip interconnections, feasible clock period, large systolic linear arrays, systolic two-dimensional arrays, logic duplication, delays, logic design, systolic arrays, systolic array, functionality, retiming, clock skews
28Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen On Verifying the Correctness of Retimed Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 3-valued equivalence, delay-compensation, sequential ATPG, formal verification, retiming, equivalence-Checking
28Miodrag Potkonjak Discrete-relaxation-based heuristic techniques for video algorithm/architecture matching and system level transformations. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF discrete-relaxation-based heuristic techniques, video algorithm, system level transformations, computational transformations, throughput performance, iterative heuristic approach, behavioral transformations, rephasing, architecture matching, computational complexity, image processing, VLSI, pipelining, iterative methods, pipeline processing, retiming, integrated circuit design, system level design, video processing, video signal processing, heuristic programming, digital signal processing chips, circuit optimisation, throughput optimization
28Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III, C. Thomas Gray Concurrent timing optimization of latch-based digital systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent timing optimization, latch-based digital systems, digital system timing, intentional clock skew, latch-based designed systems, multi-phase clocking, resynchronization, latches insertion, optimisation, timing, logic design, flip-flops, retiming, mixed integer linear program, race conditions, integrated framework, wave pipelining, hazards and race conditions, clock period
28Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
28Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Resynthesis for sequential circuits designed with a specified initial state. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF specified initial state, retiming method, redundancy removal method, resynthesized circuit, input sequences, logic optimisation, timing, redundancy, sequential circuits, logic CAD, flip-flops, flip-flops, circuit optimisation, synchronous sequential circuits
22Miao Li, Tad A. Kwasniewski, Shoujun Wang, Yuming Tao A 10Gb/s transmitter with multi-tap FIR pre-emphasis in 0.18µm CMOS technology. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ko Yoshikawa, Yasuhiko Hagihara, Keisuke Kanamaru, Yuichi Nakamura 0002, Shigeto Inui, Takeshi Yoshimura Timing optimization by replacing flip-flops to latches. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Aaron P. Hurst, Philip Chong, Andreas Kuehlmann Physical placement driven by sequential timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Seok-Jun Lee, Naresh R. Shanbhag, Andrew C. Singer A low-power VLSI architecture for turbo decoding. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MAP decoder, low power architecture, turbo decoding
22Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
22Karam S. Chatha, Ranga Vemuri Hardware-software partitioning and pipelined scheduling of transformative applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen Verifying sequential equivalence using ATPG techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Enrique San Millán, Luis Entrena, José Alberto Espejo On the Optimization Power of Redundancy Addition and Removal for Sequential Logic Optimization. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III Integrated parametric timing optimization of digital systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Tracy C. Denk, Keshab K. Parhi Synthesis of folded pipelined architectures for multirate DSP algorithms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Ching-Yi Wang, Keshab K. Parhi High-level DSP synthesis using concurrent transformations, scheduling, and allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Thomas E. Marchok, Aiman H. El-Maleh, Janusz Rajski, Wojciech Maly Testability Implications of Performance-Driven Logic Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Hervé J. Touati, Robert K. Brayton Computing the initial states of retimed circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Gerry Chen, Frank Dellaert, Seth Hutchinson 0001 Generalizing Trajectory Retiming to Quadratic Objective Functions. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Khusnul Novianingsih A Heuristic Approach for Solving Flight Retiming Problems. Search on Bibsonomy SIET The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Huimei Cheng, Xi Li, Yichen Gu, Peter A. Beerel Converting Flip-Flop to Clock-Gated 3-Phase Latch-Based Designs Using Graph-Based Retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Tessa Borgonjon, Broos Maenhout An exact approach for the personnel task rescheduling problem with task retiming. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Siyuan Gao, Shouzhen Gu, Rui Xu 0013, Edwin Hsing-Mean Sha, Qingfeng Zhuge Performance optimization for parallel systems with shared DWM via retiming, loop scheduling, and data placement. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18N. L. Venkataraman, Rajagopal Kumar 0001 An efficient NoC router design by using an enhanced AES with retiming and clock gating techniques. Search on Bibsonomy Trans. Emerg. Telecommun. Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Erika Lu, Forrester Cole, Tali Dekel, Weidi Xie, Andrew Zisserman, David Salesin, William T. Freeman, Michael Rubinstein Layered Neural Rendering for Retiming People in Video. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Erika Lu, Forrester Cole, Tali Dekel, Weidi Xie, Andrew Zisserman, David Salesin, William T. Freeman, Michael Rubinstein Layered neural rendering for retiming people in video. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Ting-Ru Lin, Massoud Pedram Retiming for High-performance Superconductive Circuits with Register Energy Minimization. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Pramod Patali, Shahana Thottathikkulam Kassim High throughput FIR filter architectures using retiming and modified CSLA based adders. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Salil Sharma, Jonas Lüßmann, Jaehyun So Controller Independent Software-in-the-Loop Approach to Evaluate Rule-Based Traffic Signal Retiming Strategy by Utilizing Floating Car Data. Search on Bibsonomy IEEE Trans. Intell. Transp. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Huimei Cheng, Hsiao-Lun Wang, Minghe Zhang, Dylan Hand, Peter A. Beerel Automatic Retiming of Two-Phase Latch-Based Resilient Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Haoyun Jiang, Zherui Zhang, Zhengkun Shen, Xiucheng Hao, Zexue Liu, Heyi Li, Yi Tan, Qiang Zhou, Junhua Liu, Huailin Liao A Calibration-Free Fractional-N ADPLL using Retiming Architecture and a 9-bit 0.3ps-INL Phase Interpolator. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Ravindra Dwivedi, Jon Barry, Sean C. McDuffee What time is it?: efficient and robust FX retiming workflow for spies in disguise. Search on Bibsonomy SIGGRAPH Talks The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Zhengkun Shen, Heyi Li, Haoyun Jiang, Zherui Zhang, Junhua Liu, Huailin Liao A 12-GHz All-Digital Calibration-Free FMCW Signal Generator Based on a Retiming Fractional Frequency Divider. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Xue-Yang Zhu Efficient Retiming of Unfolded Synchronous Dataflow Graphs. Search on Bibsonomy ICECCS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Rolf N. van Lieshout, Judith Mulder, Dennis Huisman The vehicle rescheduling problem with retiming. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Naveen Kadayinti, Maryam Shojaei Baghini, Dinesh Kumar Sharma Measurements of the effect of jitter on the performance of clock retiming circuits for on-chip interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Tobias Strauch Timing Driven C-Slow Retiming on RTL for MultiCores on FPGAs. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
18Jalaja S, Vijaya Prakash A. M Design of Low Power SAR ADC Using Clock Retiming. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 481 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license