The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clocks with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1985 (17) 1986-1988 (15) 1989-1990 (25) 1991 (16) 1992 (17) 1993 (17) 1994 (28) 1995 (36) 1996 (30) 1997 (31) 1998 (27) 1999 (47) 2000 (45) 2001 (54) 2002 (55) 2003 (57) 2004 (77) 2005 (77) 2006 (87) 2007 (92) 2008 (78) 2009 (65) 2010 (32) 2011 (31) 2012 (24) 2013 (28) 2014 (25) 2015 (27) 2016 (23) 2017 (28) 2018 (31) 2019 (26) 2020 (37) 2021 (20) 2022 (42) 2023 (25) 2024 (7)
Publication types (Num. hits)
article(410) book(1) incollection(8) inproceedings(970) phdthesis(10)
Venues (Conferences, Journals, ...)
CoRR(53) FORMATS(18) IEEE Trans. Instrum. Meas.(18) ISCAS(18) PODC(18) CONCUR(17) ITC(17) IEEE Trans. Comput. Aided Des....(16) Distributed Comput.(15) DAC(14) DATE(14) OPODIS(14) ICCD(13) TACAS(13) ICCAD(11) IEEE Trans. Computers(11) More (+10 of total 595)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 725 keywords

Results
Found 1399 publication records. Showing 1399 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Jean-Michel Hélary, Achour Mostéfaoui, Michel Raynal Virtual Precedence in Asynchronous Systems: Cencept and Applications. Search on Bibsonomy WDAG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Virtual Precedence, Check-pointing, Causality, Partial Order, Logical Clocks
24Miroslav Svéda Embedded system design: a case study. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pumps, embedded distributed systems, dispenser control, local time concept, system-wide global clock, environment specification, system functional specification, logical structure description, petrol pumping station, explosion danger, uncontrolled petrol flow, real-time systems, formal specification, prototyping, distributed processing, controller, implementation, systems analysis, synchronisation, flow control, safety critical system, clocks, distributed control, software prototyping, safety-critical software, embedded system design, counter, control system CAD
24Krishna B. Rajan, David E. Long, Miron Abramovici Increasing testability by clock transformation (getting rid of those darn states). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock transformation, sequential test generation, darn states, easy-to-reach states, logic testing, partitioning, design for testability, sequential circuits, DFT, fault coverage, testability, flip-flops, flip-flops, clocks, logic partitioning
24Ching-Farn Eric Wu, Yew-Huey Liu, Yarsun Hsu Timestamp consistency and trace-driven analysis for distributed parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF IBM computers, timestamp consistency, trace-driven analysis, distributed parallel systems, continuous event data stream, parallel program execution progress, separate streams, logical event order, local clock discrepancy, performance analysis techniques, IBM SPn systems, system events, minimal trace overhead, trace-driven analysis tools, NAS kernel benchmarks, performance evaluation, parallel processing, message passing, message passing, timing, parallel machines, clocks, system monitoring, integrated approach, multiple processors
24Luis F. G. Sarmenta, Gill A. Pratt, Stephen A. Ward Rational clocking [digital systems design]. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF rational clocking, independently-clocked digital subsystems, finite probability, phase relationship, delays, delays, logic design, logic design, synchronisation, clocks, minimisation of switching nets, digital systems design, synchronization failure
24Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
24Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith, V. John Mathews High speed counterflow-clocked pipelining illustrated on the design of HDTV subband vector quantizer chips. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF counterflow-clocked pipelining, HDTV subband vector quantizer chips, clock skew problems, back-propagating clock signals, high speed clocks, dynamic latches, composition rules, two-dimensional data-flow, image compression chip set, subband VQ, VLSI, timing, image coding, pipeline processing, digital signal processing chips, vector quantisation, telecommunication computing, clock distribution, VLSI chips, high definition television
24Martin De Wulf, Laurent Doyen 0001, Nicolas Markey, Jean-François Raskin Robust safety of timed automata. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Robustness, Implementability, Perturbation, Timed automaton, Drift
24Virginia Papailiopoulou, Laya Madani, Lydie du Bousquet, Ioannis Parissis Extending Structural Test Coverage Criteria for Lustre Programs with Multi-clock Operators. Search on Bibsonomy FMICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Zhang Fu, Marina Papatriantafilou, Philippas Tsigas Mitigating Distributed Denial of Service Attacks in Multiparty Applications in the Presence of Clock Drifts. Search on Bibsonomy SRDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Marcel Busse, Thilo Streichert Time Synchronization. Search on Bibsonomy Algorithms for Sensor and Ad Hoc Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Adib Allahham, Hassane Alla Monitoring of a Class of Timed Discrete Events Systems. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Dana Fisman On the Characterization of Until as a Fixed Point Under Clocked Semantics. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Veerapaneni Nagbhushan, C. Y. Roger Chen Algorithms to simplify multi-clock/edge timing constraints. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Emmanuelle Anceaume, Carole Delporte-Gallet, Hugues Fauconnier, Michel Hurfin, Josef Widder Clock Synchronization in the Byzantine-Recovery Failure Model. Search on Bibsonomy OPODIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Malolan Chetlur, Philip A. Wilsey Causality and Proactive Cancellation. Search on Bibsonomy DS-RT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jeanette Tsang, Konstantin Beznosov A Security Analysis of the Precise Time Protocol (Short Paper). Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE 1588, Precise Time Protocol, Network Time Protocol, time synchronization, security analysis
24Giacomo Bucci, Luigi Sassoli, Enrico Vicario Correctness Verification and Performance Analysis of Real-Time Systems Using Stochastic Preemptive Time Petri Nets. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance and dependability evaluation, maximal step semantics, well definedness, stochastic preemptive Time Petri nets, preemptive scheduling, discrete time, confusion, Real-time reactive systems, correctness verification
24André Günther, Christian Hoene Measuring Round Trip Times to Determine the Distance Between WLAN Nodes. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rajeev Alur, Salvatore La Torre, P. Madhusudan Perturbed Timed Automata. Search on Bibsonomy HSCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Anurag Agarwal, Vijay K. Garg Efficient dependency tracking for relevant events in shared-memory systems. Search on Bibsonomy PODC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shared-memory, vector clock, predicate detection
24Matthew Cooke, Hamid Mahmoodi-Meimand, Qikai Chen, Kaushik Roy 0001 Energy recovery clocked dynamic logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic, clock, domino, energy recovery
24Satoshi Kawanami, Takeshi Nishimura, Tomoya Enokido, Makoto Takizawa 0001 A Scalable Group Communication Protocol with Global Clock. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Takahiro J. Yamaguchi, Mani Soma, Jim Nissen, David Halter, Rajesh Raina, Masahiro Ishida Skew measurements in clock distribution circuits using an analytic signal method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Parosh Aziz Abdulla, Johann Deneux, Pritha Mahata Multi-Clock Timed Networks. Search on Bibsonomy LICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Jean-Michel Hélary, Michel Raynal, Giovanna Melideo, Roberto Baldoni Efficient Causality-Tracking Timestamping. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF message-passing, causality, timestamp, vector clock, Asynchronous distributed computation
24An-Swol Hu, Sergio D. Servetto Asymptotically optimal time synchronization in dense sensor networks. Search on Bibsonomy Wireless Sensor Networks and Applications The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Saurabh Ganeriwal, Ram Kumar 0001, Mani B. Srivastava Timing-sync protocol for sensor networks. Search on Bibsonomy SenSys The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sensor networks, medium access control, time synchronization, packet delay, clock drift
24Kai-Steffen Hielscher, Reinhard German A Low-Cost Infrastructure for High Precision High Volume Performance Measurements of Web Clusters. Search on Bibsonomy Computer Performance Evaluation / TOOLS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Murali Kudlugi, Russell Tessier Static scheduling of multidomain circuits for fast functional verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Maria Sorea A Decidable Fixpoint Logic for Time-Outs. Search on Bibsonomy CONCUR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Minsoo Ryu, Jungkeun Park, Seongsoo Hong Timing Constraint Remapping to Achieve Time Equi-Continuity in Distributed Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF timing constraint transformation, real-time scheduling, clock synchronization, Distributed real-time system
24Dongyao Ji, Yuming Wang Comments on "An approach to the formal verification of the two-party cryptographic protocols" by Zhang, Li and Xiao. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Takahiro J. Yamaguchi, Mani Soma, Jim Nissen, David Halter, Rajesh Raina, Masahiro Ishida Testing clock distribution circuits using an analytic signal method. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Mingfu Li, Hsin-Min Peng, Chia-Shu Liao Fast Computation of Time Deviation and Modified Allan Deviation for Telecommunications Clock Stability Characterization. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Vern Paxson On Calibrating Measurements of Packet Transit Times. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Johan Bengtsson, Bengt Jonsson 0001, Johan Lilius, Wang Yi 0001 Partial Order Reductions for Timed Systems. Search on Bibsonomy CONCUR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Kent L. Einspahr, Sharad C. Seth, Vishwani D. Agrawal Improving Circuit Testability by Clock Control. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24Farn Wang, Aloysius K. Mok, E. Allen Emerson Symbolic Model Checking for Distributed Real-Time Systems. Search on Bibsonomy FME The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Hagit Attiya Implementing FIFO Queus and Stacks (Extended Abstract). Search on Bibsonomy WDAG The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24T. K. Srikanth, Sam Toueg Optimal clock synchronization. Search on Bibsonomy J. ACM The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
24Darryl Veitch, Julien Ridoux, Satish Babu Korada Robust synchronization of absolute and difference clocks over networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TSC, software clock, synchronization, timing, GPS, network measurement, round-trip time, NTP
24Jinkyu Koo, Rajesh Krishna Panta, Saurabh Bagchi, Luis Antonio Montestruque A tale of two synchronizing clocks. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low duty cycle, synchronization, sensor
24Isamu Tsuneizumi, Ailixier Aikebaier, Tomoya Enokido, Makoto Takizawa 0001 A flexible group communication protocol with hybrid clocks. Search on Bibsonomy MoMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Taolue Chen, Jian Lu 0001 Towards the Complexity of Controls for Timed Automata with a Small Number of Clocks. Search on Bibsonomy FSKD (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ales Smrcka, Vojtech Rehák, Tomás Vojnar, David Safránek, Petr Matousek, Z. Rehák Verifying VHDL Designs with Multiple Clocks in SMV. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Shengyong Chen, Chunyan Yao, Gang Xiao 0001, Y. S. Ying, Wanliang Wang Fault Detection and Prediction of Clocks and Timers Based on Computer Audition and Probabilistic Neural Networks. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Roberto Gómez Cárdenas, Jorge Herrerías Guerrero, Erika Mata Sanchez Using Lamport's Logical Clocks to Consolidate Log Files from Different Sources. Search on Bibsonomy IICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24François Laroussinie, Nicolas Markey, Philippe Schnoebelen Model Checking Timed Automata with One or Two Clocks. Search on Bibsonomy CONCUR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Jo C. Ebergen Circuits Without Clocks: What Makes Them Tick? Search on Bibsonomy OPODIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Geralyn Abinader, Joey Stein, Molly Lenore Moving clocks and bending space: a learning/interactive museum environment (LIME). Search on Bibsonomy SIGGRAPH Educators Program The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Javier Miranda, Michael González Harbour A Proposal to Integrate the POSIX Execution-Time Clocks into Ada 95. Search on Bibsonomy Ada-Europe The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Scheduling, Execution-Time, Ada 95, Hard Real-Time, GNAT
24Szilvia Gyapay, Reiko Heckel, Dániel Varró Graph Transformation with Time: Causality and Logical Clocks. Search on Bibsonomy ICGT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Zhe Dang Binary Reachability Analysis of Pushdown Timed Automata with Dense Clocks. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Sarmistha Neogy, Anupam Sinha, Pradip Kumar Das Checkpoint Processing in Distributed Systems Software Using Synchronized Clocks. Search on Bibsonomy ITCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Synthesis-for-testability of controller-datapath pairs that use gated clocks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Jacob Savir On The Tradeoff Between Number of Clocks and Number of Latches in Shift Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Rance Cleaveland, Gerald Lüttgen, Michael Mendler An Algebraic Theory of Multiple Clocks. Search on Bibsonomy CONCUR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Glenn Jennings, Esther Jennings A discrete syntax for level-sensitive latched circuits having n clocks and m phases. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
24Thomas A. Henzinger, Peter W. Kopke, Howard Wong-Toi The Expressive Power of Clocks. Search on Bibsonomy ICALP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Atul Adya, Robert Gruber, Barbara Liskov, Umesh Maheshwari Efficient Optimistic Concurrency Control Using Loosely Synchronized Clocks. Search on Bibsonomy SIGMOD Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Peter B. Danzig, Stephen W. Melvin High Resolution Timing with Low Resolution Clocks and A Microsecond Resolution Timer for Sun Workstations. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Bernadette Charron-Bost Concerning the Size of Clocks. Search on Bibsonomy Semantics of Systems of Concurrent Processes The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Barbara Liskov, Liuba Shrira, John Wroclawski Efficient At-Most-Once Messages Based on Synchronized Clocks. Search on Bibsonomy SIGCOMM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Leslie Lamport, P. M. Melliar-Smith Synchronizing Clocks in the Presence of Faults Search on Bibsonomy J. ACM The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Thomas Schmid 0002, Dustin Torres, Mani B. Srivastava Low-power high-precision timing hardware for sensor networks. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HLTimer, clocks, time synchronization
16Arnd Hartmanns, Holger Hermanns A Modest Approach to Checking Probabilistic Timed Automata. Search on Bibsonomy QEST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Probabilistic timed automata, model checking, compositional modelling, digital clocks
16Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
16Piotr Zielinski Low-latency atomic broadcast in the presence of contention. Search on Bibsonomy Distributed Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Generic Broadcast, Synchronized clocks, Fault-tolerance, Atomic Broadcast
16Thomas Schmid 0002, Zainul Charbiwala, Jonathan Friedman, Young H. Cho, Mani B. Srivastava Exploiting manufacturing variations for compensating environment-induced clock drift in time synchronization. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF emulation, clocks, time synchronization, oscillator
16Franco Cicirelli, Angelo Furfaro, Libero Nigro Distributed simulation of modular time Petri nets: An approach and a case study exploiting temporal uncertainty. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Temporal uncertainty, Time interval based event delivery, Modelling complex real-time systems, Modularity constructs, Petri Net Markup Language, Distributed simulation, Time Petri nets, Time warp, Temporal analysis, Logical clocks
16Vijay K. Garg, Chakarat Skawratananond, Neeraj Mittal Timestamping messages and events in a distributed system using synchronous communication. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Timestamping messages and events, Edge decomposition, Vertex cover, Synchronous communication, Vector clocks
16Paul Teehan, Mark R. Greenstreet, Guy G. Lemieux A Survey and Taxonomy of GALS Design Styles. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF globally asynchronous, locally synchronous (GALS), clock domains, pausible clocks, loosely synchronous, synchronization, asynchronous
16Anand Rajaram, David Z. Pan Variation tolerant buffered clock network synthesis with cross links. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
16Philippe Charles, Christian Grothoff, Vijay A. Saraswat, Christopher Donawa, Allan Kielstra, Kemal Ebcioglu, Christoph von Praun, Vivek Sarkar X10: an object-oriented approach to non-uniform cluster computing. Search on Bibsonomy OOPSLA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF atomic blocks, non-uniform cluster computing (NUCC), partitioned global address space (PGAS), Java, scalability, multithreading, productivity, clocks, data distribution, places, X10
16Anand Rajaram, David Z. Pan, Jiang Hu Improved algorithms for link-based non-tree clock networks for skew variability reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
16Attila Pásztor, Darryl Veitch PC based precision timing without GPS. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pc clocks, software clock, synchronization, timing, GPS, network measurement, NTP
16Johann Großschädl The Chinese Remainder Theorem and its Application in a High-Speed RSA Crypto Chip. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA/spl gamma/ crypto-chip, RSA encryption scheme, hardware performance, long-integer modular arithmetic, private key operations, multiplier architecture, high-speed hardware accelerator, reconfigurable multiplier datapath, word-serial multiplier, modular reduction method, multiplier core, decryption rate, 200 MHz, 560 kbit/s, 2 Mbit/s, parallelism, pipelining, public key cryptography, reconfigurable architectures, clocks, Chinese Remainder Theorem, microprocessor chips, multiplying circuits, modular multiplications, modular exponentiations, pipeline arithmetic, clock frequency
16Kim Guldstrand Larsen, Bernhard Steffen, Carsten Weise Continuous Modeling of Real-Time and Hybrid Systems: From Concepts to Tools. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Continuous time modeling, Timed automata-based modeling, Drifting clocks, Formal methods, Hybrid systems, Discrete time modeling
16Allen E. Sjogren, Chris J. Myers Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Mixed synchronous/asynchronous interfacing, stoppable clocks, high-speed pipelines, globally synchronous locally asynchronous, metastability, synchronization failure
16Rajesh Raina, Robert Bailey, Charles Njinda, Robert F. Molyneaux, Charlie Beh Efficient Testing of Clock Regenerator Circuits in Scan Designs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock regenerators, fault simulation, test pattern generation, clocks, microprocessor testing
16Nevin Heintze, J. D. Tygar A Model for Secure Protocols and Their Compositions. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic of authentication, protocols-composition of, security, model, model checking, communication, Authentication, formal methods, cryptography, protocols, composition, time, computer security, clocks, protocol analysis, protocol analysis, timed models
16Massoud Pedram Power minimization in IC design: principles and applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF adiabatic circuits, dynamic power dissipation, low power layout, low power synthesis, lower-power design, power analysis and estimation, power minimization and management, silicon-on-insulator technology, switched capacitance, synthesis, system design, power management, layout, probabilistic analysis, symbolic simulation, CMOS circuits, switching activity, statistical sampling, computer-aided design of VLSI, gated clocks, energy-delay product
16Chuchang Liu, Mehmet A. Orgun Executing specifications of distributed computations with Chronolog(MC). Search on Bibsonomy SAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, distributed computations, logic programming, temporal logic, clocks, executable specification
16Luca Benini, Patrick Vuillod, Claudionor José Nunes Coelho Jr., Giovanni De Micheli Synthesis of Low-Power Selectively-Clocked Systems from High-Level Specification. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power, High level synthesis, finite state machines, gated clocks
16Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
16Samit Chaudhuri, Stephen A. Blythe, Robert A. Walker 0001 An exact methodology for scheduling in a 3D design space. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF 2D design space, 3D design space, 3D scheduling problem, Voyager design space exploration system, candidate clock lengths, clock length, globally optimal solution, schedule length, three dimensional scheduling, three-dimensional design space, two dimensional design space, scheduling, optimisation, high level synthesis, search problems, clocks, tight bounds, network synthesis, search space pruning
16Hong Hao, Kanti Bhabuthmal Clock controller design in SuperSPARC II microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SuperSPARC II, internal clock pulses, internal clock, free running mode, IEEE 1149.1 interface, microprocessor, clocks, microprocessor chips, clock controller
16Gill A. Pratt, John Nguyen Distributed synchronous clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed synchronous clocking, hardware clock, synchronous processor, distributed error correction algorithm, global phase alignment, mode lock, k-ary Cartesian meshes, scalability, graph theory, timing, synchronisation, error correction, clocks, phase locked loops, digital systems, clock signals
16Kei-Yong Khoo, Alan N. Willson Jr. Single-transistor transparent-latch clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent-latch clocking, single-phase clocking scheme, CMOS VLSI designs, single NMOS transistor, allowable width, clock driver, dynamic buffer, architecture-driven voltage scaling, pipelining latches, latch-intensive architectures, filter structures, transposed-form FIR filter, VLSI, flip-flops, clocks, integrated circuit design, digital filters, FIR filters, power dissipation, CMOS digital integrated circuits
16Hao Zheng, Kewal K. Saluja, Rajiv Jain Test application time reduction for scan based sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan based sequential circuits, single clock configuration, nonscan flip-flops, test vector length, nonatomic two-clock scan method, test generation environment, logic testing, sequential circuits, flip-flops, clocks, partial scan, boundary scan testing, test application time
16Steve Brown, Germán Gutiérrez, Reed Nelson, Chris VanKrevelen A gate-array based 500 MHz triple channel ATE controller with 40 pS timing verniers. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emitter-coupled logic, triple channel ATE controller, timing verniers, precision edge timing, drive waveforms, returning signals, system clock frequency, ECL, 500 MHz, 40 ps, timing, clocks, automatic test equipment, logic arrays, programmable controllers, gate array, high speed testing
16Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Functional clock schedule optimization. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths
16William L. Bradley, Ranga Vemuri Transformations for functional verification of synthesized designs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF low-level functional verification, synthesized designs, clocking mechanisms, provably equivalent model, hierarchical network of modules, reduced state set, de-phase transform, align transform, algorithm, formal verification, transforms, transforms, logic CAD, clocks, hierarchical system, reachable states
16Vernon L. Chi Salphasic Distribution of Clock Signals for Synchronous Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF transmission line theory, loaded transmission line, printed circuit board clock planes, clock plane, phase skew, salphasic clock, synchronisation, clocks, distribution network, clock skews, synchronous systems, synchronous system, propagation delay, system clock, phase shifts, clock signals, clock signal
16Alan Olson, Kang G. Shin Fault-Tolerant Clock Synchronization in Large Multicomputer Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant clock synchronization, large multicomputer systems, clock value, maximum skew, maximum time, fault tolerance, reliability, fault tolerant computing, multiprocessing systems, synchronisation, clocks, clock skew, clock drift, synchronization algorithm
16Marina Papatriantafilou, Philippas Tsigas On Self-Stabilizing Wait-Free Clock Synchronization. Search on Bibsonomy SWAT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF PRAM computation model, Fault tolerance, Concurrency, Distributed Computing, Synchronization, Self-Stabilization, Synchronous Systems, Wait-Free Synchronization, Digital Clocks
16Ahmed El-Amawy Clocking Arbitrarily Large Computing Structures Under Constant Skew Bound. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF constant skew bound, arbitrarily large computing structures, communicating cells, skew upper bound, maximum clocking rate, 2-D mesh framework, node design, nonplanar structures, parallel architectures, stability, hypercubes, network topology, synchronisation, hypercube networks, clocks, clock skew, global synchronization
16Jacob Savir, William H. McAnney Random Pattern Testability of Delay Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF combinational logic networks, logic testing, delay faults, combinatorial circuits, latches, random pattern testability, system clocks
16Nohbyung Park, Alice C. Parker Theory of Clocking for Maximum Execution Overlap of High-Speed Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF maximum execution overlap, high-speed digital systems, performance evaluation, data dependencies, clocking, clocks, digital systems, branching, resource conflicts
Displaying result #101 - #200 of 1399 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license