The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for silicon with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (15) 1968-1978 (17) 1979-1982 (16) 1983-1984 (21) 1985 (26) 1986 (16) 1987 (23) 1988 (26) 1989 (32) 1990 (50) 1991 (43) 1992 (24) 1993 (40) 1994 (33) 1995 (56) 1996 (44) 1997 (77) 1998 (106) 1999 (116) 2000 (117) 2001 (156) 2002 (164) 2003 (258) 2004 (249) 2005 (338) 2006 (551) 2007 (802) 2008 (409) 2009 (295) 2010 (207) 2011 (290) 2012 (258) 2013 (438) 2014 (514) 2015 (382) 2016 (374) 2017 (597) 2018 (481) 2019 (351) 2020 (356) 2021 (349) 2022 (355) 2023 (342) 2024 (54)
Publication types (Num. hits)
article(2868) book(10) data(3) incollection(34) inproceedings(6355) phdthesis(171) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2164 occurrences of 1285 keywords

Results
Found 9468 publication records. Showing 9468 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27John Lazzaro, John Wawrzynek A multi-sender asynchronous extension to the AER protocol. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multi-sender extension, AER, address-event representation, asynchronous point-to-point communications protocol, silicon neural systems, protocols, neural chips
27Ryan Cochran, Abdullah Nazma Nowroz, Sherief Reda Post-silicon power characterization using thermal infrared emissions. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power characterization, thermal infrared emissions
27Robert C. Aitken The challenges of correlating silicon and models in high variability CMOS processes. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design validation
27Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Atul Madhavan, Vikram L. Dalal, Max A. Noack Superlattice structures for nanocrystalline silicon solar cells. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Farinaz Koushanfar, Petros Boufounos, Davood Shamsi Post-silicon timing characterization by compressed sensing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jae Wook Kim, Boris Murmann, Robert W. Dutton Hybrid Integration of Bandgap Reference Circuits Using Silicon ICs and Germanium Devices. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bandgap reference, germanium devices, hybrid integration
27Sung-Boem Park, Subhasish Mitra IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF verification, debug, validation, design for debug
27R. Jacob Vogelstein, Udayan Mallik, Joshua T. Vogelstein, Gert Cauwenberghs Dynamically Reconfigurable Silicon Array of Spiking Neurons With Conductance-Based Synapses. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Mojtaba Mehrara, Mona Attariyan, Smitha Shyam, Kypros Constantinides, Valeria Bertacco, Todd M. Austin Low-cost protection for SER upsets and silicon defects. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ehab Anis, Nicola Nicolici Interactive presentation: Low cost debug architecture using lossy compression for silicon debug. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kolin Paul, Joël Porquet, Josep Llosa Silicon Compaction/Defragmentation for Partial Runtime Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Automating post-silicon debugging and repair. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xin Li 0001, Brian Taylor, YuTsun Chien, Lawrence T. Pileggi Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kip Killpack, Chandramouli V. Kashyap, Eli Chiprout Silicon Speedpath Measurement and Feedback into EDA flows. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Wenhui Wang Application of Bayesian Network to Tendency Prediction of Blast Furnace Silicon Content in Hot Metal. Search on Bibsonomy LSMS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Soumendu Bhattacharya, Vishwanath Natarajan, Abhijit Chatterjee, Sankar Nair Efficient DNA Sensing with Fabricated Silicon Nanopores: Diagnosis Methodology and Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Sean A. Stauth, Babak A. Parviz Integration of Silicon Circuit Components Onto Plastic substrates Using Fluidic Self-Assembly. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Shi-Hua Luo, Xiang-Guan Liu, Min Zhao Prediction for Silicon Content in Molten Iron Using a Combined Fuzzy-Associative-Rules Bank. Search on Bibsonomy FSKD (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Rakesh B. Katragadda, Yong Xu A Novel Intelligent Textile Technology Based on Silicon Flexible Skins. Search on Bibsonomy ISWC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Federico Sandoval-Ibarra, L. Flores-Gómez Design of Silicon-Based Suspended Inductors for UHF Applications. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Debashis Nayak, Srikanth Venkataraman, Paul J. Thadikaran Razor: A Tool for Post-Silicon Scan ATPG Pattern Debug and Its Application. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Hari Balachandran, Kenneth M. Butler, Neil Simpson Facilitating Rapid First Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Shahid Masud, John V. McCanny Design of Silicon IP Cores for Biorthogonal Wavelet Transforms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF rapid design, FPGA, synthesis, system-on-a-chip, dsp
27Mark Stephenson, Jonathan Babb, Saman P. Amarasinghe Bitwidth analysis with application to silicon compilation. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Wayne H. Wolf CAD Techniques for Embedded Systems-on-Silicon. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Panagiotis Androutsos, Harry E. Ruda, Anastasios N. Venetsanopoulos Semi-interactive Structure and Fault Analysis of (111)7x7 Silicon Micrographs. Search on Bibsonomy VISUAL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Tom Marshburn, Ivy Lui, Rick Brown, Dan Cheung, Gary Lum, Peter Cheng DATAPATH: a CMOS data path silicon assembler. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
24Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Toward Dark Silicon in Servers. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Dark silicon, specialized computing, scalability, power, energy, multicore
24Sassan Tabatabaei, Aaron Partridge Silicon MEMS Oscillators for High-Speed Digital Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF silicon oscillator, microelectromechanical systems, MEMS resonator, MEMS packaging, serial interfaces, hardware, clock, oscillator, digital clocking
24Hyeon Bae, Tae-Ryong Jeon, Sungshin Kim, Hyun-Soo Kim, DongSeop Kim, Seung Soo Han, Gary S. May Optimization of silicon solar cell fabrication based on neural network and genetic programming modeling. Search on Bibsonomy Soft Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Silicon solar cell fabrication, Genetic algorithm, Neural network, Genetic programming, Particle swarm optimization
24John Goodenough 0001, Rob Aitken Post-silicon is too late avoiding the $50 million paperweight starts with validated designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power design, emulation, post-silicon validation
24Ho Fai Ko, Nicola Nicolici Resource-Efficient Programmable Trigger Units for Post-Silicon Validation. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable trigger unit, false trigger analysis, post-silicon validation
24Junrong Liang, Jiang Zheng, Xin Zhao Distribution of Antioxidatases in Cell of Diatom Nitzschia Closterium and Response to Different Environmental Silicon Concentrations. Search on Bibsonomy ESIAT (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF diatom Nitzschia closterium, plasma membrane, antioxidatase, PPO, POD, environmental silicon concentration, CAT, SOD
24Steven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable gate array, system-on-chip, integrated circuit, silicon debug
24Xiaosong Xu, Hailin Liu 0005, Fuxue Zhang Phase Processing of No-Drive Structure Silicon Micromachined Gyroscope. Search on Bibsonomy PACIIA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating carrier, silicon micromachined gyroscope, eigenvalue, phase
24R. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram Architectures for Silicon Nanoelectronics and Beyond. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Silicon devices, Computer architectures, Nanotechnology
24Pradip Bose Pre-Silicon Modeling and Analysis: Impact On Real Design. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pre-silicon modeling, performance modeling, CMOS
24Ramyanshu Datta, Antony Sebastine, Ashwin Raghunathan, Jacob A. Abraham On-chip delay measurement for silicon debug. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design for testability, delay fault testing, silicon debug
24Andreas G. Andreou, Kwabena A. Boahen A 590, 000 transistor 48, 000 pixel, contrast sensitive, edge enhancing, CMOS imager-silicon retina. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon retina, analog VLSI focal plane processor, phototransduction, local gain control, single chip system, vertebrate distal retina, ultra low power dissipation, n-well double metal double poly digital oriented CMOS technology, current-mode subthreshold CMOS, 48000 pixel, computer vision, VLSI, edge detection, CMOS integrated circuits, image sensors, contrast, edge enhancement, CMOS imager, focal planes, area efficiency, 1.2 micron
23Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
23Eli Chiprout On-die power grids: the missing link. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decap, voltage, locality, power grid, resonance
23Saraju P. Mohanty Unified Challenges in Nano-CMOS High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Todd M. Austin, Valeria Bertacco, Scott A. Mahlke, Yu Cao Reliable Systems on Unreliable Fabrics. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Tommy Bojan, Igor Frumkin, Robert Mauri Intel First Ever Converged Core Functional Validation Experience: Methodologies, Challenges, Results and Learning. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23I-Wei Wu, Shih-Chia Huang, Chung-Ping Chung, Jean Jyh-Jiun Shann Instruction Set Extension Generation with Considering Physical Constraints. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pipestage Timing Constraint, ASIP, Instruction set extension, Extensible Processors
23Vijay Degalahal, Tim Tuan Methodology for high level estimation of FPGA power consumption. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Arun Shrimali, Anand Venkitachalam, Ravi Arora Issues and Challenges in Ramp to Production. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Gilles-Eric Descamps, Satish Bagalkotkar, Subramaniam Ganesan, Sridhar Subramaniam, Hem Hingarh The iFlow Design Factory: Evolving Chip Design from an Art to a Process, through Adaptive Resource Management, and Qualified Data Exchange. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Rolf Ernst, Kees A. Vissers, Pieter van der Wolf, Gert-Jan van Rootselaar System level design and debug of high-performance embedded media systems (tutorial). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  BibTeX  RDF
21Pradip Bose, Alper Buyuktosunoglu, Chen-Yong Cher, John A. Darringer, Meeta Sharma Gupta, Hendrik F. Hamann, Hans M. Jacobson, Prabhakar Kudva, Eren Kursun, Niti Madan, Indira Nair, Jude A. Rivers, Jeonghee Shin, Alan J. Weger, Victor V. Zyuban Power-efficient, reliable microprocessor architectures: modeling and design methods. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power-efficient design, pre-silicon modeling, reliable operation
21Vasilis F. Pavlidis, Giovanni De Micheli Power distribution paths in 3-D ICS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power distribution network, 3-D ICS, 3-D integration, through silicon vias
21Rajeev K. Ranjan 0001, Claudionor Coelho, Sebastian Skalberg Beyond verification: leveraging formal for debugging. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF behavioral indexing, post-silicon debugging, traceless debugging, formal verification, debugging, property verification
21Tsung-Ching Huang, Kwang-Ting (Tim) Cheng, Huai-Yuan Tseng, Chen-Pang Kung Reliability analysis for flexible electronics: Case study of integrated a-Si: H TFT scan driver. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF amorphous hydrogenated silicon (a-Si:H), flexible electronics, scan driver, thin-film transistor, Reliability, threshold voltage
21Stefan Tillich, Martin Feldhofer, Thomas Popp, Johann Großschädl Area, Delay, and Power Characteristics of Standard-Cell Implementations of the AES S-Box. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF substitution box (S-box), inversion in the finite field GF($28$), standard cell implementation, Advanced Encryption Standard (AES), power consumption, silicon area, critical path delay
21Wing-Man Tang, Cheung H. Leung, Pui-To Lai Effects of Insulator Thickness on the Sensing Properties of MISiC Schottky-Diode Hydrogen Sensor. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hydrogen sensor, silicon carbide, SiO2
21Jeffrey A. Kash Leveraging Optical Interconnects in Future Supercomputers and Servers. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optical network on chip, silicon photonics
21Paul D. Franzon, W. Rhett Davis, Michael B. Steer, Steve Lipa, Eun Chu Oh, Thorlindur Thorolfsson, Samson Melamed, Sonali Luniya, Tad Doxsee, Stephen Berkeley, Ben Shani, Kurt Obermiller Design and CAD for 3D integrated circuits. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal modeling, TSV, through silicon via, 3DIC
21Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF silicon clock, computer technology, digital camera, click fraud
21Yaodong Cui, Qiang Wang Exact and heuristic algorithms for the circle cutting problem in the manufacturing industry of electric motors. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Two-dimensional cutting, Silicon steel plates, Stators and rotors, Cutting
21Joe Sullivan, Conor Ryan A destructive evolutionary process: a pilot implementation. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF silicon design, reliability, experimentation, flash memory
21Jonathan P. Bowen, Tim Bergin, Christopher H. Sterling Reviews. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Halley's Comet, Work Projects Administration, time line, origins of the Internet, Silicon Valley, General Electric, Litton Industries, Shockley Semiconductor, Fairchild Semiconductor, human computers
21Egidio Ragonese, Alessandro Italia, Giuseppe Palmisano An image-reject down-converter for 802.11a and HIPERLAN2 wireless LANs. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Image rejection, Radio receiver, Silicon bipolar technology, Wireless local area network, Inductors
21Miron Abramovici, Paul Bradley, Kumar N. Dwarakanath, Peter Levin, Gérard Memmi, Dave Miller A reconfigurable design-for-debug infrastructure for SoCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF assertion-based debug, at-speed debug, what-if experiments, silicon debug
21Steve Leibson, James Kim Configurable Processors: A New Era in Chip Design. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer silicon lithography, microprocessors, multiprocessor systems, MPSoCs, configurable processors
21 Inventions: A Result of Risk-Taking, Diversity, and Holistic Thinking - An interview with Bernard S. Meyerson, IBM Fellow, Vice President, and Chief Technologist of IBM's System Technology Group. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF silicon germanium, semiconductor
21Greg Yeric, Ethan Cohen, John Garcia, Kurt Davis, Esam Salem, Gary Green Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systematic yield loss, test structure, BEOL, DFM, process monitoring, silicon debug, infrastructure IP
21 Panel Summaries. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IEEE European Test Symposium, IEEE Infrastructure IP Workshop, silicon debug, microelectronics, infrastructure IP
21Lech Józwiak Life-Inspired Systems. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Life-inspired Systems, Silicon and System Complexity, Embedded Systems, System on Chip, Design Methodology, System in Package
21Sandeep Kumar Goel, Bart Vermeulen Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based debug, Design-for-Debug (DfD), clock gating, silicon debug, multiple-clock domains
21Nathalie Picollet-D'hahan, Fabien Sauter, Florence Ricoul, Catherine Pudda, Frédérique Marcel, Thomas Sordel, François Chatelain, Isabelle Chartier Multi-Patch : A Chip-Based Ion-Channel Assay System for Drug Screening. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF silicon microfabrication, electrophysiology, ion channels
21Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
21José Luis Bosque, Oscar David Robles, Angel Rodríguez, Luis Pastor Study of a Parallel CBIR Implementation using MPI. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel CBIR implementation, bidimensional RGB images, distributed memory multiprocessor environment, master process, slave process, Silicon Graphics Origin 2000, MPI, image database, visual databases, parallel implementation, content based information retrieval, shared memory machine
21Eric W. MacDonald, Nur A. Touba Testing domino circuits in SOI technology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon-on-insulator, SOI technology, dynamic circuit styles, fault modeling analysis, overall fault coverage, parasitic bipolar leakage, CMOS logic, logic testing, integrated circuit testing, automatic testing, fault simulation, CMOS logic circuits, leakage currents, domino circuits
21Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
21Yves Gagnon, Yvon Savaria, Michel Meunier, Claude Thibeault Are defect-tolerant circuits with redundancy really cost-effective? Complete and realistic cost model. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF defect-tolerant circuit, contamination, wafer test, silicon chip, reconfiguration, redundancy, integrated circuit testing, manufacturing, yield, cost model, integrated circuit, figure of merit, fault tolerant circuit
21Craig Farnsworth, David A. Edwards, Jianwei Liu, Shiv S. Sikand A hybrid asynchronous system design environment. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hybrid asynchronous system design environment, hybrid design scheme, asynchronous circuit synthesis, Tangram silicon complier, synchronous design techniques, concurrency, high level synthesis, asynchronous circuits, power reduction, performance gains, micropipelines
21Vijay K. Jain, L. Lin High-speed double precision computation of nonlinear functions. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed double precision computation, interpolative approach, third degree polynomial, image processing, interpolation, interpolation, scientific computing, digital arithmetic, multiplications, coprocessors, coprocessors, real-time image processing, nonlinear functions, silicon area
21Jehoshua Bruck, Danny Dolev, Ching-Tien Ho, Rimon Orni, H. Raymond Strong PCODE: an efficient and reliable collective communication protocol for unreliable broadcast domain. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PCODE, unreliable broadcast domain, point-to-point protocol, Silicon Graphics Indigo workstations, broadcast, message passing, programming environments, local area networks, transport protocols, communication protocol, LAN
21Patrick Schaumont, Bart Vanthournout, Ivo Bolsens, Hugo De Man Synthesis of pipelined DSP accelerators with dynamic scheduling. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DSP algorithms, FFT butterfly accelerator block, application specific DSP accelerators, highly pipelined data paths, pipelined DSP accelerator synthesis, pipelined bit-parallel hardware, silicon, scheduling, parallel architectures, application specific integrated circuits, dynamic scheduling, pipeline processing, circuit CAD, digital signal processing chips, datapath, controller architecture, network synthesis, run-time schedules
21Tadeusz Luba Decomposition of Multiple-Valued Functions. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon space, PLA implementations, information storing systems, information systems, logic design, decomposition, logic synthesis, programmable logic arrays, multivalued logic, logic circuits, data bases, multiple-valued functions
21Evangelos P. Markatos, Thomas J. LeBlanc Using Processor Affinity in Loop Scheduling on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF processoraffinity, kernel programs, Silicon Graphics multiprocessor, BBN Butterfly, SequentSymmetry, KSR-1, scheduling, performance evaluation, synchronization, shared-memory multiprocessors, shared memory systems, iterations, performance improvements, communication overhead, loop scheduling, loop iterations, load imbalance
21W. Stephen Adolph, Hassan K. Reghbati, Amar Sanmugasunderam A frame based system for representing knowledge about VLSI design: a proposal. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF computer assisted design, knowledge representation, VLSI design, silicon compilation
21Atsushi Sanada 0002, Kazuo Ishii, Tetsuya Yagi A Robot Vision System Using a Silicon Retina. Search on Bibsonomy Brain-Inspired Information Technology The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Ho Fai Ko, Nicola Nicolici Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Tao Chen 0010, Liguo Chen, Lining Sun Piezoelectrically driven silicon microgrippers integrated with sidewall piezoresistive sensor. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Satya Saripalli, Vikram Dalal Microcrystalline silicon-germanium solar cells fabricated using VHF PECVD. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ashutosh Chakraborty, Sean X. Shi, David Z. Pan Layout Level Timing Optimization by Leveraging Active Area Dependent Mobility of Strained-Silicon Devices. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Garrick Orchard, Alexander F. Russell, Kevin Mazurek, Francesco Tenore, Ralph Etienne-Cummings Configuring silicon neural networks using genetic algorithms. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Wei Tang 0002, Andreas G. Andreou, Eugenio Culurciello A low-power silicon-on-sapphire tunable ultra-wideband transmitter. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mel Ho, Pantelis Georgiou, Suket Singhal, Nick Oliver, Chris Toumazou A bio-inspired closed-loop insulin delivery based on the silicon pancreatic beta-cell. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Houbin Li, Xiaohui Zhang, Wenjuan Gu, Shengping Yi, Chi Huang The Preparation and Characterization of a Compatibilizer: Silicon Dioxide Nanoparticles Grafted with L-Lactic Acid Oligomer. Search on Bibsonomy CSSE (6) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Flavio M. de Paula, Marcel Gort, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 BackSpace: Formal Analysis for Post-Silicon Debug. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Todd J. Foster, Dennis L. Lastor, Padmaraj Singh First Silicon Functional Validation and Debug of Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Justin Gregg, Tom W. Chen Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21John V. Arthur, Kwabena A. Boahen Synchrony in Silicon: The Gamma Rhythm. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay An Approach for Pre-Silicon Power Modeling. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21John V. Arthur, Kwabena Boahen 0001 Silicon Neurons that Inhibit to Synchronize. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 9468 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license