The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for yield with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1976 (17) 1977-1981 (16) 1982-1984 (19) 1985-1986 (38) 1987 (22) 1988 (35) 1989 (53) 1990 (59) 1991 (39) 1992 (43) 1993 (72) 1994 (60) 1995 (88) 1996 (94) 1997 (118) 1998 (123) 1999 (173) 2000 (222) 2001 (236) 2002 (305) 2003 (348) 2004 (490) 2005 (595) 2006 (754) 2007 (815) 2008 (797) 2009 (499) 2010 (185) 2011 (123) 2012 (117) 2013 (95) 2014 (117) 2015 (128) 2016 (130) 2017 (132) 2018 (148) 2019 (171) 2020 (244) 2021 (235) 2022 (264) 2023 (286) 2024 (64)
Publication types (Num. hits)
article(3127) book(2) data(1) incollection(27) inproceedings(5401) phdthesis(28)
Venues (Conferences, Journals, ...)
Remote. Sens.(274) IEEE Trans. Comput. Aided Des....(196) Comput. Electron. Agric.(167) CoRR(146) DAC(141) ISQED(139) DFT(114) IGARSS(113) ITC(112) DATE(101) ICCAD(101) IEEE Trans. Very Large Scale I...(99) ASP-DAC(77) VTS(76) IEEE Trans. Image Process.(65) Sensors(63) More (+10 of total 1832)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6138 occurrences of 3479 keywords

Results
Found 8586 publication records. Showing 8586 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
32Sandrine Barberan, Frederic Duvivier Management of Critical Areas and Defectivity Data for Yield Trend Modeling. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF critical areas, defect analysis, yield modeling
32Leendert M. Huisman Yield fluctuations and defect models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF chip testing, defect distribution, field failures, clustering, yield, defect coverage
31Rouwaida Kanj, Rajiv V. Joshi, Jente B. Kuang, J. Kim, Mesut Meterelliyoz, William R. Reohr, Sani R. Nassif, Kevin J. Nowka Statistical yield analysis of silicon-on-insulator embedded DRAM. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Shingo Watanabe, Masanori Hashimoto, Toshinori Sato A case for exploiting complex arithmetic circuits towards performance yield enhancement. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Sridhar Varadan, Janet Meiling Wang, Jiang Hu Handling partial correlations in yield prediction. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Aman Kokrady, C. P. Ravikumar, Nitin Chandrachoodan Memory Yield Improvement through Multiple Test Sequences and Application-Aware Fault Models. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Guo Yu, Peng Li 0001 Yield-aware analog integrated circuit optimization using geostatistics motivated performance modeling. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Serkan Ozdemir, Debjit Sinha, Gokhan Memik, Jonathan Adams, Hai Zhou 0001 Yield-Aware Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Fangyi Luo, Yongbo Jia, Wayne Wei-Ming Dai Yield-preferred via insertion based on novel geotopological technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Cristian Grecu, André Ivanov, Res Saleh, Partha Pratim Pande NoC Interconnect Yield Improvement Using Crosspoint Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Sujan Pandey, Manfred Glesner Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication bus synthesis, voltage scaling
31Carlo Roma, Pierluigi Daglio, Guido De Sandre, Marco Pasotti, Marco Poles How Circuit Analysis and Yield Optimization Can Be Used To Detect Circuit Limitations Before Silicon Results. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yervant Zorian Investment vs. Yield Relationship for Memories in SOC. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Witold A. Pleskacz, Wojciech Maly, Hans T. Heineken Detection of Yield Trends. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31D. D. Sharma, Fred J. Meyer, Dhiraj K. Pradhan Yield optimization of modular and redundant multimegabit RAMs: a study of effectiveness of coding versus static redundancy using the center-satellite model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Bruno Ciciani, Giuseppe Iazeolla A Markov chain-based yield formula for VLSI fault-tolerant chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
30Chin-Te Kao, Sam Wu, Jwu E. Chen A case study of failure analysis and guardband determination for a 64M-bit DRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF guardband determination, prevention strategy, test derivation, test cost, 64 Mbit, integrated circuit testing, yield, DRAM, failure analysis, failure analysis, test selection, DRAM chips, product quality, integrated circuit yield, integrated circuit economics
30Hari Balachandran, D. M. H. Walker Improvement of SRAM-based failure analysis using calibrated Iddq testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF defect-bitmap dictionary, voltage testing, microprocessor cache memory, integrated circuit testing, calibration, calibration, SRAM, cache storage, failure analysis, failure analysis, IDDQ testing, current testing, defect classification, SRAM chips, integrated circuit yield, integrated circuit yield
29Stephen Bijansky, Adnan Aziz TuneFPGA: post-silicon tuning of dual-Vdd FPGAs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, delay, process variation, yield, tuning
28Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
28Srikanth Venkataraman, Nagesh Tamarapalli DFM / DFT / SiliconDebug / Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28John Ferguson Shifting Methods: Adopting a Design for Manufacture Flow. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Yung-Yuan Chen, Ching-Hwa Cheng, Yung-Ci Chou An Effective Reconfiguration Process for Fault-Tolerant VLSI/WSI Array Processors. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Mihir R. Choudhury, Masoud Rostami, Kartik Mohanram Dominant critical gate identification for power and yield optimization in logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low-vt, process variations, yield
28Yan Li 0029, Vladimir Stojanovic Yield-driven iterative robust circuit optimization algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF robust circuit optimization, variability, yield, analog circuits
28N. Pete Sedcole, Peter Y. K. Cheung Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modeling, FPGA, Delay, reconfiguration, process variation, yield
28Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Subthreshold CMOS Through Output-Wired Redundancy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold CMOS, Output-wired redundancy, Yield and defect tolerance
28Baker Mohammad, Martin Saint-Laurent, Paul Bassett, Jacob A. Abraham Cache Design for Low Power and High Yield. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reduce voltage swing, sram yield, SRAM 6T cell, cache design, parametric failure
28Yohei Matsumoto, Masakazu Hioki, Takashi Kawanami, Toshiyuki Tsutsumi, Tadashi Nakagawa, Toshihiro Sekigawa, Hanpei Koike Performance and yield enhancement of FPGAs with within-die variation using multiple configurations. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, configuration, within-die variation, timing yield
28Adesh K. Sharma, R. K. Sharma 0001, H. S. Kasana Empirical comparisons of feed-forward connectionist and conventional regression models for prediction of first lactation 305-day milk yield in Karan Fries dairy cows. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Dairy production, Karan Fries cows, 305-day milk yield, Prediction, Radial basis function networks, Connectionist models, Back-propagation networks
28Dirk Müller 0003 Optimizing yield in global routing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Steiner tree packing, multi-commodity flows, yield optimization, VLSI routing
28Hailong Yao, Yici Cai, Xianlong Hong, Qiang Zhou 0001 Improved multilevel routing with redundant via placement for yield and reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF redundant via, routing, VLSI, DFM, yield enhancement
28Camelia Hora, Rene Segers, Stefan Eichenberger, Maurice Lousberg On a Statistical Fault Diagnosis Approach Enabling Fast Yield Ramp-Up. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault diagnosis, yield improvement
28Wojciech Maly, Anne E. Gattiker, Thomas Zanon, Thomas J. Vogels, R. D. (Shawn) Blanton, Thomas M. Storey Deformations of IC Structure in Test and Yield Learning. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF yield learning, defect characterization, diagnosis, fault modeling, defects
28Jochen A. G. Jess, Kerim Kalafala, Srinath R. Naidu, Ralph H. J. M. Otten, Chandramouli Visweswariah Statistical timing for parametric yield prediction of digital integrated circuits. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistical timing, yield prediction
28Kassem Saleh, Robert L. Probert, W. Li, W. Fong An approach for high-yield requirements capture for e-commerce and its application. Search on Bibsonomy Int. J. Digit. Libr. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF High-yield scenarios, Requirements capture approach, UML, Electronic commerce
28Vibhu Kalyan Dynamic Customer Value Management: Asset Values under Demand Uncertainty using Airline Yield Management and Related Techniques. Search on Bibsonomy Inf. Syst. Frontiers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MAV, value management, asset values, uncertainty, resources, yield management
28Yervant Zorian Embedding infrastructure IP for SOC yield improvement. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded test & repair, semiconductor IP, yield optimization, test resource partitioning
28Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
28Nobuhiro Tomabechi Multi-Dimensional Subsystem-Dividing for Yield Enhancement in Defect-Tolerant WSI Systems. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF subsystem-dividing, yield, defect-tolerant, WSI, multi-dimensional
28Andrea Boni, Andrea Pierazzi Yield Enhancement by Multi-level Linear Modeling of Non-Idealities in an Interpolated Flash ADCs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF BiCMOS analog integrated circuits, Monte Carlo methods, Yield optimization, Analog-digital conversion
28Jeffrey Z. Su, Wayne Wei-Ming Dai Post-route optimization for improved yield using a rubber-band wiring model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Rubber-Band, Topological Wiring Even Wire Distribution, Yield, Design for Manufacturability, Spacing, Bridge Fault, Routability, Critical Area, Layout Optimization, Routing Congestion
28Fran Hanchek, Shantanu Dutt Node-Covering Based Defect and Fault Tolerance Methods for Increased Yield in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit reconfiguration, node covering, fault tolerance, field programmable gate array (FPGA), yield improvement
28Kanji Hirabayashi A parametric yield model. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF AC yield, delay defect, exponential distribution
28Yinan N. Shen, Fabrizio Lombardi Yield enhancement and manufacturing throughput of redundant memories by repairability/unrepairability detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF redundant memory, diagnosis, yield, repair, WSI
27Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Gate Sizing for Binning Yield Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan Track Routing and Optimization for Yield. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chih-Min Fan, Yun-Pei Lu A Bayesian framework to integrate knowledge-based and data-driven inference tools for reliable yield diagnoses. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jae Chul Cha, Sandeep K. Gupta 0001 Characterization of granularity and redundancy for SRAMs for optimal yield-per-area. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Julien Vial, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Using TMR Architectures for Yield Improvement. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Lin Xie, Azadeh Davoodi Robust Estimation of Timing Yield with Partial Statistical Information on Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Lucas Brusamarello, Roberto da Silva, Ricardo A. L. Reis, Gilson I. Wirth Yield Analysis by Error Propagation Using Numerical Derivatives Considering WD and D2D variations. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer Reduction of detected acceptable faults for yield improvement via error-tolerance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Cesare Ferri, Sherief Reda, R. Iris Bahar Strategies for improving the parametric yield and profits of 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Mingjing Chen, Alex Orailoglu Circuit-level mismatch modelling and yield optimization for CMOS analog circuits. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Srikanth Venkataraman DFM, DFY, Debug and Diagnosis: The Loop to Ensure Yield. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Rouwaida Kanj, Rajiv V. Joshi, Jayakumaran Sivagnaname, Jente B. Kuang, Dhruva Acharyya, Tuyet Nguyen, Chandler McDowell, Sani R. Nassif Gate Leakage Effects on Yield and Design Considerations of PD/SOI SRAM Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xin Li 0001, Lawrence T. Pileggi Efficient Parametric Yield Extraction for Multiple Correlated Non-Normal Performance Distributions of Analog/RF Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan TROY: Track Router with Yield-driven Wire Planning. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kyungmee O. Kim Relating integrated circuit yield and time-dependent reliability for various defect density distributions. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Wei-Shen Wang, Michael Orshansky Robust estimation of parametric yield under limited descriptions of uncertainty. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Min Pan, Chris C. N. Chu, Hai Zhou 0001 Timing yield estimation using statistical static timing analysis. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Dong-Hyun Baek, In-Jae Jeong, Chang Hee Han Application of Data Mining for Improving Yield in Wafer Fabrication System. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja False Path and Clock Scheduling Based Yield-Aware Gate Sizing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Andreas J. Strojwas, Michael Campbell, Vassilios Gerousis, Jim Hogan, John Kibarian, Marc Levitt, Walter Ng, Dipu Pramanik, Mark Templeton When IC yield missed the target, who is at fault? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27André K. Nieuwland, Richard P. Kleihorst The positive effect on IC yield of embedded Fault Tolerance for SEUs. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Emmanuel Rondey, Yann Tellier, Simone Borri A Silicon-Based Yield Gain Evaluation Methodology for Embedded-SRAMs with Different Redundancy Scenarios. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Yuichi Hamamura, Kazunori Nemoto, Takaaki Kumazawa, Hisafumi Iwata, Kousuke Okuyama, Shiro Kamohara, Aritoshi Sugimoto Repair Yield Simulation with Iterative Critical Area Analysis for Different Types of Failure. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Thomas S. Barnett, Matt Grady, Kathleen G. Purdy, Adit D. Singh Redundancy Implications for Early-Life Reliability: Experimental Verification of an Integrated Yield-Reliability Model. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27José Pineda de Gyvez Yield modeling and BEOL fundamentals. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Ron Ross, Keith McCasland Early Detection of Design Sensitivities that Cause Yield Loss for New Products. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Valery Axelrad, Nicolas B. Cobb, M. O'Brien, Thuy Do, Tom Donnelly, Yuri Granik, Emile Y. Sahouria, Victor Boksha, Artur Balasinski Efficient Full-Chip Yield Analysis Methodology for OPC-Corrected VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27ShaoWei Pan, Yu Hen Hu PYFS-a statistical optimization method for integrated circuit yield enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Sy-Yen Kuo YOR: a yield-optimizing routing algorithm by minimizing critical areas and vias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Albert V. Ferris-Prabhu On the assumptions contained in semiconductor yield models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27José Pineda de Gyvez, Jochen A. G. Jess On the design and implementation of a wafer yield editor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Dale E. Hocevar, Paul F. Cox, Ping Yang 0001 Parametric yield optimization for MOS circuit blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
27Tian-Shen Tang, M. A. Styblinski Yield optimization for nondifferentiable density functions using convolution techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
27Steve Perry, Mike Mitchell, David J. Pilling Yield analysis modeling. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Rani S. Ghaida, Payman Zarkesh-Ha A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout sensitivity, Narrow defects, Electromigration, Critical area, Yield prediction, Yield modeling, Spot defects
26Carlo Guardiani, Massimo Bertoletti, Nicola Dragone, Marco Malcotti, Patrick McNamara An effective DFM strategy requires accurate process and IP pre-characterization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test chips, yield, DFM, yield models
26Jitendra Khare, Sujoy Mitra, Pranab K. Nag, U. Maly, Rob A. Rutenbar Testability-oriented channel routing. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF IC testing quality, testability-oriented channel routing, IC layout modification, test escape probability, iterative channel routing tool, fault undetectability, WrenTR, fault diagnosis, integrated circuit testing, design for testability, fault detectability, network routing, circuit layout CAD, bridging fault, circuit optimisation, integrated circuit layout, design strategies, yield loss, integrated circuit yield
26Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Burn-In Failures and Local Region Yield: An Integrated Yield-Reliability Model. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Jaeheon Yi, Cormac Flanagan Effects for cooperable and serializable threads. Search on Bibsonomy TLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF atomicity, yield, race conditions, effect system
25Aarti Choudhary, Sandip Kundu A process variation tolerant self-compensating FinFET based sense amplifier design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sense amplifier, robustness, process -variation, yield, sram, finfet
25Rei-Fu Huang, Jin-Fu Li 0001, Jen-Chieh Yeh, Cheng-Wen Wu Raisin: Redundancy Analysis Algorithm Simulation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF repair rate, BIRA, Raisin, yield, BISR, redundancy analysis, algorithm simulation
25Jun Zhang, Yiming Wang, Jinping Li, Ping Yang A Neural Network Model for Predicting Cotton Yields. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF precision farming, cotton yield, artificial neural network
25Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li Design-for-Manufacture for Multi Gate Oxide CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi gate oxide, FPGA, layout, yield, DFM
25Kang Yi, Kyeong-Hoon Jung, Shih-Yang Cheng, Young-Hwan Park, Fadi J. Kurdahi, Ahmed M. Eltawil Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low power image filter design, Memory yield enhancement, Memory-error resilient design, H.264 codec, BIST, Embedded memory, BISR
25David T. Blaauw, Kaviraj Chopra CAD tools for variation tolerance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF variability, yield, design flows
25Israel Koren, Zahava Koren Analysis of a Hybrid Defect-Tolerance Scheme for High-Density Memory ICs. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Memory IC, yield, defect-tolerance
24Serkan Ozdemir, Yan Pan, Abhishek Das, Gokhan Memik, Gabriel H. Loh, Alok N. Choudhary Quantifying and coping with parametric variations in 3D-stacked microarchitectures. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF processor pipeline, process variations, 3D integration, cache architectures
24Youngshin Han, Junghee Kim, Chilgee Lee Automatic Detection of Failure Patterns Using Data Mining. Search on Bibsonomy KES (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Srinivas Raghvendra, Philippe Hurat DFM: Linking Design and Manufacturing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Junichi Hirase High Precision Result Evaluation of VLSI. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Saeed Shamshiri, Kwang-Ting (Tim) Cheng Modeling Yield, Cost, and Quality of a Spare-Enhanced Multicore Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF yield and cost modeling, Fault tolerance, reliability, system on a chip, redundant design
24Mottaqiallah Taouil, Said Hamdioui Layer Redundancy Based Yield Improvement for 3D Wafer-to-Wafer Stacked Memories. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF 3D stacked-IC, memory redundancy, 3D memory, yield enhancement
Displaying result #101 - #200 of 8586 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license