The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Spyros Tragoudas, Maria K. Michael Functional ATPG for Delay Faults. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Tomoo Inoue, Toshinori Hosokawa, Takahiro Mihara, Hideo Fujiwara An Optimal Time Expansion Model Based on Combinational ATPG for RT level Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Aiman H. El-Maleh, Mark Kassab, Janusz Rajski A Fast Sequential Learning Technique for Real Circuits with Application to Enhancing ATPG Performance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, verification, guided search
26A. Dargelas, C. Gauthron, Yves Bertrand MOSAIC: a multiple-strategy oriented sequential ATPG for integrated circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Paolo Camurati, Marco Gilli, Paolo Prinetto, Matteo Sonza Reorda The Use of Model Checking in ATPG for Sequential Circuits. Search on Bibsonomy CAV The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26André Ivanov, Vinod K. Agarwal Dynamic testability measures for ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Xiaoqing Yang, Tak-Kei Lam, Yu-Liang Wu ECR: a low complexity generalized error cancellation rewiring scheme. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error cancellation, ATPG, rewire
24Scott Davidson 0001 The commonality of vector generation techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF output compression, non-fault-directed test, semi-fault-directed test, ATPG, test compression, full scan, vector generation, logic BIST
24Nektarios Kranitis, Andreas Merentitis, George Theodorou, Antonis M. Paschalis, Dimitris Gizopoulos Hybrid-SBST Methodology for Efficient Testing of Processor Cores. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF H-SBST, RTPG, computer architecture, ATPG, functional testing, microprocessor testing, software-based self-test
24Ilia Polian, Alejandro Czutro, Sandip Kundu, Bernd Becker 0001 Power Droop Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power droop, signal integrity errors, high-frequency effects, low-frequency effects, ATPG, heuristic method, D-algorithm
24Seongmoon Wang, Wenlong Wei A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs
24Hani Rizk, Christos A. Papachristou, Francis G. Wolff A Self Test Program Design Technique for Embedded DSP Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self test programs, pseudorandom BIST, LSFR, DSP, ATPG
24Abhishek Singh 0001, Jim Plusquellic, Dhananjay S. Phatak, Chintan Patel Defect Simulation Methodology for iDDT Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF iDDT, transient current testing, device testing, ATPG, fault simulation, IDDQ, defect simulation, defect-based test
24Martin Stáva, Ondrej Novák Using Conflict-Based On-line Learning to Accelerate the Backtrace Algorithm Implemented in HW. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, VLSI, ATPG, hardware, on-line learning, Backtrace
24Vishnu C. Vimjam, Michael S. Hsiao Fast illegal state identification for improving SAT-based induction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF learning, ATPG, SAT, induction
24Scott Davidson 0001 Guest Editor's Introduction: ITC Examines How Test Helps the Fittest Survive. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF X-tolerant, IC outlier, ATPG, BIST, yield, IDDQ, International Test Conference, test metrics
24Maher N. Mneimneh, Karem A. Sakallah Principles of Sequential-Equivalence Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF conceptual and algorithmic approache, ATPG, sequential-equivalence checking, satisfiability solvers
24Debesh Kumar Das, Satoshi Ohtake, Hideo Fujiwara New Non-Scan DFT Techniques to Achieve 100% Fault Efficiency. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scan and non-scan, fault efficiency, ATPG
24Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Multiple Faults: Modeling, Simulation and Test. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG Modeling, Fault Modeling, Multiple Fault
24Hiroyuki Higuchi An implication-based method to detect multi-cycle paths in large sequential circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multi-cycle path, sequential circuits, ATPG, implication
24Martin Keim, Nicole Drechsler, Rolf Drechsler, Bernd Becker 0001 Combining GAs and Symbolic Methods for High Quality Tests of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF sequential circuit ATPG, single observation time test strategy, multiple observation time test strategy, genetic algorithm, symbolic simulation
24Zhigang Yin, Yinghua Min, Xiaowei Li 0001 An Approach to RTL Fault Extraction and Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ATPG (Automatic Test Pattern Generation), RTL (Register Transfer Level), Fault
24Debesh K. Das, Uttam K. Bhattacharya, Bhargab B. Bhattacharya Isomorph-Redundancy in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF testing, redundancy, ATPG, DFT, stuck-at faults, sequential machines
24Li-C. Wang, Magdy S. Abadir On Efficiently Producing Quality Tests for Custom Circuits in PowerPCTM Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF custom circuits, high level circuit extraction, ATPG, DFT, time-to-market
24Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer A new framework for static timing analysis, incremental timing refinement, and timing simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF incremental timing refinement, signal arrival, target fault, test generation efficiency, logic testing, delays, timing, test generation, integrated circuit testing, computation, automatic test pattern generation, ATPG, static timing analysis, delay model, timing simulation
24Emil Gizdarski, Hideo Fujiwara Spirit: satisfiability problem implementation for redundancy identification and test generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Boolean satisfiability method, SPIRIT, ATPG system, logic testing, computability, automatic test pattern generation, combinational circuits, combinational circuits, test pattern generation, test sets
24Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
24Tsuyoshi Shinogi, Terumine Hayashi A Parallel Generation System of Compact IDDQ Test Sets for Large Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compact test generation, parallel processing, ATPG, IDDQ testing
24Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen On Verifying the Correctness of Retimed Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 3-valued equivalence, delay-compensation, sequential ATPG, formal verification, retiming, equivalence-Checking
24Antoni Ferré, Joan Figueras On estimating bounds of the quiescent current for IDDQ testin. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF quiescent current bounds, sensing circuitry design, VLSI, logic testing, integrated circuit testing, ATPG, automatic testing, CMOS integrated circuits, leakage currents, I/sub DDQ/ testing, CMOS ICs, hierarchical approach
24Subhrajit Bhattacharya, Sujit Dey H-SCAN: A high level alternative to full-scan testing with reduced area and test application overheads. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF H-SCAN, parallel register connectivity, on-chip response, sequential test vectors, combinational test vectors, combinational ATPG program, RT-level design, integrated circuit testing, design for testability, automatic testing, fault simulation, fault coverage, test pattern generation, comparator, boundary scan testing, test application time, high-level design, area overhead, testing methodology
24Marcello Dalpasso, Michele Favalli, Piero Olivo Test pattern generation for IDDQ: increasing test quality. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATPG strategy, quiescent power supply current monitoring, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, CMOS logic circuits, I/sub DDQ/ testing
18Pallav Gupta, Rui Zhang, Niraj K. Jha Automatic Test Generation for Combinational Threshold Logic Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir Derivation of Reduced Test Vectors for Bit-Parallel Multipliers over GF(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ho Fai Ko, Nicola Nicolici Automated Scan Chain Division for Reducing Shift and Capture Power During Broadside At-Speed Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jiri Jenícek Efficient Test Pattern Compression Method Using Hard Fault Preferring. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Multiple Coupling Effects Oriented Path Delay Test Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, delay test, path delay fault
18Shih-Ping Lin 0001, Chung-Len Lee 0001, Jwu-E Chen, Ji-Jan Chen, Kun-Lun Luo, Wen Ching Wu A Multilayer Data Copy Test Data Compression Scheme for Reducing Shifting-in Power for Multiple Scan Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kunal P. Ganeshpure, Sandip Kundu Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rene Krenz-Baath, Andreas Glowatz, Jürgen Schlöffel Computation and Application of Absolute Dominators in Industrial Designs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Srivaths Ravi 0001, V. R. Devanathan, Rubin A. Parekhji Methodology for low power test pattern generation using activity threshold control logic. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Nina Amla, Kenneth L. McMillan Combining Abstraction Refinement and SAT-Based Model Checking. Search on Bibsonomy TACAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jimson Mathew, Hafizur Rahaman 0001, Dhiraj K. Pradhan Efficient Testable Bit Parallel Multipliers over GF(2^m) with Constant Test set. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rajamani Sethuram, Seongmoon Wang, Srimat T. Chakradhar, Michael L. Bushnell Zero Cost Test Point Insertion Technique for Structured ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudofunctional testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Seongmoon Wang, Srimat T. Chakradhar A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tomas Pecenka, Josef Strnadel, Zdenek Kotásek, Lukás Sekanina Testability Estimation Based on Controllability and Observability Parameters. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gang Zeng, Youhua Shi, Toshinori Takabatake, Masao Yanagisawa, Hideo Ito Low-Cost IP Core Test Using Multiple-Mode Loading Scan Chain and Scan Chain Clusters. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yu Huang 0005 On N-Detect Pattern Set Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shweta Chary, Michael L. Bushnell Automatic Path-Delay Fault Test Generation for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ahmad A. Al-Yamani, Edward J. McCluskey Test chip experimental results on high-level structural test. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test experiment, Structural test, VLSI test, complex gates
18Bhushan Vaidya, Mehdi Baradaran Tahoori Delay Test Generation with All Reachable Output Propagation and Multiple Excitations. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nisar Ahmed, Mohammad Tehranipoor Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Intaik Park, Ahmad A. Al-Yamani, Edward J. McCluskey Effective TARO Pattern Generation. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Octavian Petre, Hans G. Kerkhoff Scan Test Strategy for Asynchronous-Synchronous Interfaces. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (GALS), asynchronous synchronous interface, synchronizers, scan test
18Davide Appello, Alessandra Fudoli, Katia Giarda, Vincenzo Tancorre, Emil Gizdarski, Ben Mathew Understanding Yield Losses in Logic Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Feng Shi 0010, Yiorgos Makris SPIN-TEST: automatic test pattern generation for speed-independent circuits. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Pallav Gupta, Rui Zhang, Niraj K. Jha An Automatic Test Pattern Generation Framework for Combinational Threshold Logic Networks. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nicola Bombieri, Franco Fummi, Graziano Pravadelli At-Speed Functional Verification of Programmable Devices. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Davide Appello, Alessandra Fudoli, Katia Giarda, Emil Gizdarski, Ben Mathew, Vincenzo Tancorre Yield Analysis of Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18C. V. Krishna, Nur A. Touba 3-Stage Variable Length Continuous-Flow Scan Vector Decompression Scheme. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Laung-Terng Wang, Khader S. Abdel-Hafez, Shianling Wu, Xiaoqing Wen, Hiroshi Furukawa, Fei-Sheng Hsu, Shyh-Horng Lin, Sen-Wei Tsai VirtualScan: A New Compressed Scan Technology for Test Cost Reduction. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Stephen Pateras Security vs. Test Quality: Fully Embedded Test Approaches Are the Key to Having Both. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Manan Syal, Michael S. Hsiao, Sreejit Chakravarty Identifying Untestable Transition Faults in Latch Based Designs with Multiple Clocks. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Alfred L. Crouch, John C. Potter, Jason Doege AC Scan Path Selection for Physical Debugging. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ananta K. Majhi, Guido Gronthoud, Camelia Hora, Maurice Lousberg, Pop Valer, Stefan Eichenberger Improving Diagnostic Resolution of Delay Faults using Path Delay Fault Model. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ahmad A. Al-Yamani, Edward J. McCluskey Built-In Reseeding for Serial Bist. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Brady Benware, Chris Schuermyer, Sreenevasan Ranganathan, Robert Madge, Prabhu Krishnamurthy, Nagesh Tamarapalli, Kun-Han Tsai, Janusz Rajski Impact of Multiple-Detect Test Patterns on Product Quality. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante A New Functional Fault Model for FPGA Application-Oriented Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18M. Enamul Amyeen, Irith Pomeranz, W. Kent Fuchs Theorems for Efficient Identification of Indistinguishable Fault Pairs in Synchronous Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Nandu Tendolkar, Rajesh Raina, Rick Woltenberg, Xijiang Lin, Bruce Swanson, Greg Aldrich Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Microprocessor, Delay Testing
18Vivekananda M. Vedula, Jacob A. Abraham, Jayanta Bhadra Program Slicing for Hierarchical Test Generation. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Indradeep Ghosh, Masahiro Fujita Automatic test pattern generation for functional register-transferlevel circuits using assignment decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Andreas G. Veneris, Magdy S. Abadir, Ivor Ting Design rewiring based on diagnosis techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Bernd Könemann, Carl Barnhart, Brion L. Keller, Thomas J. Snethen, Owen Farnsworth, Donald L. Wheater A SmartBIST Variant with Guaranteed Encoding. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Arun Krishnamachary, Jacob A. Abraham, Raghuram S. Tupuri Timing Verification and Delay Test Generation for Hierarchical Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ankur Jain, Vamsi Boppana, Rajarshi Mukherjee, Jawahar Jain, Masahiro Fujita, Michael S. Hsiao Testing, Verification, and Diagnosis in the Presence of Unknowns. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Indradeep Ghosh, Masahiro Fujita Automatic test pattern generation for functional RTL circuits using assignment decision diagrams. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Yanti Santoso, Matthew C. Merten, Elizabeth M. Rudnick, Miron Abramovici FreezeFrame: Compact Test Generation Using a Frozen Clock Strategy. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Satoshi Ohtake, Michiko Inoue, Hideo Fujiwara A Method of Test Generation for Weakly Testable Data Paths Using Test Knowledge Extracted from RTL Description. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Sujit Dey, Anand Raghunathan, Kenneth D. Wagner Design for Testability Techniques at the Behavioral and Register-Transfer Levels. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral synthesis for testability, behavioral synthesis for BIST, high-level test generation, RTL synthesis for testability, design for testability
18Li-C. Wang, Magdy S. Abadir Test Generation Based on High-Level Assertion Specification for PowerPCTM Microprocessor Embedded Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level test generation, assertion test generation, design validation, logic verification, symbolic trajectory evaluation
18Shing-Wu Tung, Jing-Yang Jou Verification Pattern Generation for Core-Based Design Using Port Order Fault Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Michael L. Bushnell, John Giraldi A Functional Decomposition Method for Redundancy Identification and Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF redundancy identification, logic testing, automatic test generation, backtracing
18Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda New static compaction techniques of test sequences for sequential circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer High Quality Robust Tests for Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, fault modeling, delay test, robust test
18Uwe Gläser, Heinrich Theodor Vierhaus Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Kwang-Ting Cheng Gate-level test generation for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing, automatic test generation, IC testing, sequential circuit test generation
18Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting Competing Subpopulations for Automatic Generation of Test Sequences for Digital Cicuits. Search on Bibsonomy PPSN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Vishwani D. Agrawal, Michael L. Bushnell, Qing Lin Redundancy Identification Using Transitive Closure. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel Enhancing high-level control-flow for improved testability. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis for testability, at-speed testing, testability measures, test point insertion, high-level description
18Kee Sup Kim, Charles R. Kime Partial scan flip-flop selection by use of empirical testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan flip-flop selection, serial scan, design for testability, testability, partial scan
18Srimat T. Chakradhar, Michael L. Bushnell, Vishwani D. Agrawal Toward massively parallel automatic test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Torsten Grüning, Udo Mahlstedt, Wilfried Daehn, Cengiz Özcan Accelerated test pattern generation by cone-oriented circuit partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license