The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi Dynamically reconfigurable on-chip communication architectures for multi use-case chip multiprocessor applications. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jin Sun 0006, Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang NBTI aware workload balancing in multi-core systems. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Aditya P. Karmarkar, Xiaopeng Xu, Victor Moroz, Greg Rollins, Xiao Lin Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19A. Elyada, Ran Ginosar, Uri C. Weiser Low-Complexity Policies for Energy-Performance Tradeoff in Chip-Multi-Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jun Wang, Hongbo Zeng, Kun Huang, Ge Zhang 0007, Yan Tang Zero-Efficient Buffer Design for Reliable Network-on-Chip in Tiled Chip-Multi-Processor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19John Cieslewicz, Kenneth A. Ross Data partitioning on chip multiprocessors. Search on Bibsonomy DaMoN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin A helper thread based EDP reduction scheme for adapting application execution in CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha A framework for memory-aware multimedia application mapping on chip-multiprocessors. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud Impact of dummy filling techniques on interconnect capacitance and planarization in nano-scale process technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturability, dummy fill
19Jae-Seok Yang, Andrew R. Neureuther Crosstalk Noise Variation Assessment and Analysis for the Worst Process Corner. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF worst corner, noise, crosstalk, variation, signal integrity
19Antonio Flores, Manuel E. Acacio, Juan L. Aragón Address Compression and Heterogeneous Interconnects for Energy-Efficient High-Performance in Tiled CMPs. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Carolina Bonacic, Carlos García 0001, Mauricio Marín, Manuel Prieto 0001, Francisco Tirado, Cesar Vicente Improving Search Engines Performance on Multithreading Processors. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Michele Petracca, Benjamin G. Lee, Keren Bergman, Luca P. Carloni Design Exploration of Optical Interconnection Networks for Chip Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networks-on-Chip, Interconnection, Chip Multiprocessors, Optics
19Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Utilizing shared data in chip multiprocessors with the nahalal architecture. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, cache memories
19Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
19Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
19Srinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Michael Gschwind The Cell Broadband Engine: Exploiting Multiple Levels of Parallelism in a Chip Multiprocessor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute-transfer parallelism, multi-level application parallelism, Chip multiprocessor, Cell Broadband Engine, heterogeneous chip multiprocessor
19James Laudon, Lawrence Spracklen The Coming Wave of Multithreaded Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, parallel programming, multithreading, Chip multiprocessing
19Niti Madan, Rajeev Balasubramonian Power Efficient Approaches to Redundant Multithreading. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant multi-threading (RMT), dynamic frequency scaling, Reliability, power, soft errors, transient faults, heterogeneous chip multiprocessors
19Assaf Shacham, Keren Bergman, Luca P. Carloni On the Design of a Photonic Network-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hiroaki Shikano, Jun Shirako, Yasutaka Wada, Keiji Kimura, Hironori Kasahara Power-Aware Compiler Controllable Chip Multiprocessor. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Guangyu Chen, Feihui Li, Mahmut T. Kandemir Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ronald G. Dreslinski, Bo Zhai, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester An Energy Efficient Parallel Architecture Using Near Threshold Operation. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Meeta Sharma Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, David M. Brooks Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Onur Mutlu, Thomas Moscibroda Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Guilherme Ottoni, David I. August Global Multi-Threaded Instruction Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Subarna Sinha, Jianfeng Luo, Charles C. Chiang Model Based Layout Pattern Dependent Metal Filling Algorithm for Improved Chip Surface Uniformity in the Copper Process. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jisheng Zhao, Matthew Horsnell, Ian Rogers, Andrew Dinn, Chris C. Kirkham, Ian Watson Optimizing Chip Multiprocessor Work Distribution Using Dynamic Compilation. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Automatic parallelization, dynamic execution, feedback-directed optimization
19Ayaz Ali, S. Lennart Johnsson, Jaspal Subhlok Scheduling FFT computation on SMP and multicore systems. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fast Fourier transform, shared memory, multicore, automatic parallelization, automatic performance tuning
19Hongbo Zeng, Kun Huang, Ming Wu, Weiwu Hu Concerning with On-Chip Network Features to Improve Cache Coherence Protocols for CMPs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Michela Becchi, Mark A. Franklin, Patrick Crowley Performance/area efficiency in chip multiprocessors with micro-caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF networking workload, chip multiprocessor, cache hierarchies
19Tianzhou Chen, Guobing Chen, Hongjun Dai, Qingsong Shi A function-based on-chip communication design in the heterogeneous multi-core architecture. Search on Bibsonomy MUE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Fengguang Song, Shirley Moore, Jack J. Dongarra L2 Cache Modeling for Scientific Applications on Chip Multi-Processors. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache performance modeling, architecture, chip multi-processor, multi-threaded programming
19Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
19Ali-Reza Adl-Tabatabai, Christos Kozyrakis, Bratin Saha Transactional programming in a multi-core environment. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel programming, transactional memory, atomicity, hardware architecture
19Munkang Choi, Linda S. Milor Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Myungho Lee, Yeonseung Ryu, Sugwon Hong, Chungki Lee Performance Impact of Resource Conflicts on Chip Multi-processor Servers. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe Reunion: Complexity-Effective Multicore Redundancy. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jian Li 0059, José F. Martínez Dynamic power-performance adaptation of parallel computation on chip multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Pengyong Ma, Shuming Chen MID: a Novel Coherency Protocol in Chip Multiprocessor. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tomasz Madajczak, Henryk Krawczyk Integrating SHECS-Based Critical Sections with Hardware SMP Scheduler in TLP-CMPs. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Michela Becchi, Patrick Crowley Dynamic thread assignment on heterogeneous multiprocessor architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor, heterogeneous architectures
19Björn Jäger, Mario Porrmann, Ulrich Rückert 0001 Bio-inspired massively parallel architectures for nanotechnologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten Modeling Cache Sharing on Chip Multiprocessor Architectures. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Suzanne Rivoire, Rebecca Schultz, Tomofumi Okuda, Christos Kozyrakis Vector Lane Threading. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Liqun Cheng, Naveen Muralimanohar, Karthik Ramani, Rajeev Balasubramonian, John B. Carter Interconnect-Aware Coherence Protocols for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Engin Ipek, Sally A. McKee, Rich Caruana, Bronis R. de Supinski, Martin Schulz 0001 Efficiently exploring architectural design spaces via predictive modeling. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensitivity studies, artificial neural networks, performance prediction, design space exploration
19Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Zhen Yao Reverse Compilation for Speculative Parallel Threading. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jian Li 0059, José F. Martínez Power-performance considerations of parallel computing on chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Voltage/frequency scaling, granularity, parallel efficiency
19Ben Wun, Jeremy Buhler, Patrick Crowley Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Dhruba Chandra, Fei Guo, Seongbeom Kim, Yan Solihin Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Francisco J. Villa, Manuel E. Acacio, José M. García 0001 Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Weidong Shi, Hsien-Hsin S. Lee, Guofei Gu, Laura Falk, Trevor N. Mudge, Mrinmoy Ghosh An Intrusion-Tolerant and Self-Recoverable Network Service System Using A Security Enhanced Chip Multiprocessor. Search on Bibsonomy ICAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Intrusion-tolerant computing, survivable service, buffer overflow, self-healing, rootkits, chip multi processor
19Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark Coordinated, distributed, formal energy management of chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, dynamic voltage scaling
19Björn Jäger, Jörg-Christian Niemann, Ulrich Rückert 0001 Analytical approach to massively parallel architectures for nanotechnologies. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kyriakos Stavrou, Pedro Trancoso TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Michael Zhang, Krste Asanovic Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Seongbeom Kim, Dhruba Chandra, Yan Solihin Fair Cache Sharing and Partitioning in a Chip Multiprocessor Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir Optimizing Bus Energy Consumption of On-Chip Multiprocessors Using Frequent Values. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF On-chip Multiprocessors, Power Optimization, Value Locality
19Mladen Nikitovic, Mats Brorsson A Low Power Strategy for Future Mobile Terminals. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Tero Rissa, Peter Y. K. Cheung, Wayne Luk SoftSONIC: A Customisable Modular Platform for Video Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ken W. Batcher, Robert A. Walker 0001 Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
19Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Area fill synthesis for uniform layout density. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19G. Ramalingam, Alex Varshavsky, John Field, Deepak Goyal, Shmuel Sagiv Deriving Specialized Program Analyses for Certifying Component-Client Conformance. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF model checking, static analysis, abstract interpretation, software components, predicate abstraction
19Jaehyuk Huh 0001, Doug Burger, Stephen W. Keckler Exploring the Design Space of Future CMPs. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Vikas Mehrotra, Shiou Lin Sam, Duane S. Boning, Anantha P. Chandrakasan, Rakesh Vallishayee, Sani R. Nassif A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky Filling algorithms and analyses for layout density control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky New Multilevel and Hierarchical Algorithms for Layout Density Control. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Lance Hammond, Mark Willey, Kunle Olukotun Data Speculation Support for a Chip Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Renjie Liu, Xiaopeng Yang, Jiancheng Liao, Xiaodong Qu, Peng Yin, Aly E. Fathy Parameter Inversion Method of Multilayered Media Based on Off-Grid Sparse CMP Model With Refined Orthogonal Matching Pursuit. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Zhuoyuan Wu, Yuping Wang, Hengbo Ma, Zhaowei Li, Hang Qiu 0001, Jiachen Li 0001 CMP: Cooperative Motion Prediction with Multi-Agent Communication. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Jonghyun Oh, Young-Ha Hwang, Jun-Eun Park, Mingoo Seok, Deog-Kyoon Jeong An Output-Capacitor-Free Synthesizable Digital LDO Using CMP-Triggered Oscillator and Droop Detector. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Changyu Zhou, Motoyuki Sato MUSIC-Based Super-Resolution CMP Velocity-Depth Analysis for Multilayer Cases. Search on Bibsonomy IEEE Geosci. Remote. Sens. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Qing Zhang 0008, Huajie Huang, Jizuo Li, Yuhang Zhang, Yongfu Li 0002 CmpCNN: CMP Modeling with Transfer Learning CNN Architecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hendrik Brockhaus, David von Oheimb, John Gray Certificate Management Protocol (CMP) Updates. Search on Bibsonomy RFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hendrik Brockhaus, Hans Aschauer, Mike Ounsworth, John Gray Certificate Management Protocol (CMP) Algorithms. Search on Bibsonomy RFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hendrik Brockhaus, David von Oheimb, Steffen Fries Lightweight Certificate Management Protocol (CMP) Profile. Search on Bibsonomy RFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shalabh Jain, Pradeep Pappachan, Jorge Guajardo, Sven Trieflinger, Indrasen Raghupatruni, Thomas Huber CMP-SiL: Confidential Multi Party Software-in-the-Loop Simulation Frameworks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Kaiwei Zou, Ying Wang 0001, Long Cheng 0003, Songyun Qu, Huawei Li 0001, Xiaowei Li 0001 CAP: Communication-Aware Automated Parallelization for Deep Learning Inference on CMP Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Nivedita Bijlani, Oscar Mendez Maldonado, Samaneh Kouchaki G-CMP: Graph-enhanced Contextual Matrix Profile for unsupervised anomaly detection in sensor-based remote health monitoring. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Dijana Mosic, Predrag S. Stanimirovic, Vasilios N. Katsikis Properties of the CMP inverse and its computation. Search on Bibsonomy Comput. Appl. Math. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Nivedita Bijlani, Oscar Mendez Maldonado, Samaneh Kouchaki G-CMP: Graph-enhanced Contextual Matrix Profile for unsupervised anomaly detection in sensor-based remote health monitoring. Search on Bibsonomy BMVC The full citation details ... 2022 DBLP  BibTeX  RDF
18Zilian Qu, Wensong Wang, Xueli Li, Qi Li, Yuanjin Zheng Measurement and Error Analysis of Cu Film Thickness With Ta Barrier Layer on Wafer for CMP Application. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Junzhe Cai, Changhao Yan, Yudong Tao, Yibo Lin, Sheng-Guo Wang, David Z. Pan, Xuan Zeng 0001 A Novel and Unified Full-Chip CMP Model Aware Dummy Fill Insertion Framework With SQP-Based Optimization Method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Junzhe Cai, Changhao Yan, Yuzhe Ma, Bei Yu 0001, Dian Zhou, Xuan Zeng 0001 NeurFill: Migrating Full-Chip CMP Simulators to Neural Networks for Model-Based Dummy Filling Synthesis. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18José M. Cecilia, José M. García 0001 Re-engineering the ant colony optimization for CMP architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Baolin Tian, Junsheng Zeng, Baoqing Meng, Qian Chen, Xiaohu Guo, Kun Xue Compressible multiphase particle-in-cell method (CMP-PIC) for full pattern flows of gas-particle system. Search on Bibsonomy J. Comput. Phys. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Junjun Zhang, Mei Yu 0001, Gangyi Jiang, Yubin Qi CMP-based saliency model for stereoscopic omnidirectional images. Search on Bibsonomy Digit. Signal Process. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Bingxue Wang, Hongmei Du, Haifeng Ma Perturbation bounds for DMP and CMP inverses of tensors via Einstein product. Search on Bibsonomy Comput. Appl. Math. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Jonghyun Oh, Jun-Eun Park, Young-Ha Hwang, Deog-Kyoon Jeong 25.2 A 480mA Output-Capacitor-Free Synthesizable Digital LDO Using CMP- Triggered Oscillator and Droop Detector with 99.99% Current Efficiency, 1.3ns Response Time, and 9.8A/mm2 Current Density. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Guillaume Aupy, Anne Benoit, Brice Goglin, Loïc Pottier, Yves Robert Co-scheduling HPC workloads on cache-partitioned CMP platforms. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Mihee Uhm, Jin-Moo Lee, Jieun Lee, Jung Han Lee, Sungju Choi, Byung-Gook Park, Dong Myong Kim, Sung-Jin Choi, Hyun-Sun Mo, Yong-Joo Jeong, Dae Hwan Kim Ultrasensitive Electrical Detection of Hemagglutinin for Point-of-Care Detection of Influenza Virus Based on a CMP-NANA Probe and Top-Down Processed Silicon Nanowire Field-Effect Transistors. Search on Bibsonomy Sensors The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Yuancheng Li, Jiaqi Shi CRbS: A Code Reordering Based Speeding-up Method of Irregular Loops on CMP. Search on Bibsonomy ASAP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Karthik Sangaiah, Michael Lui, Radhika Jagtap, Stephan Diestelhorst, Siddharth Nilakantan, Ankit More, Baris Taskin, Mark Hempstead SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of CMP and HPC Workloads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Jialun Cao, Yongjian Li, Jun Pang 0001 L-CMP: an automatic learning-based parameterized verification tool. Search on Bibsonomy ASE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Guillaume Aupy, Anne Benoit, Brice Goglin, Loic Pottier, Yves Robert Co-Scheduling HPC Workloads on Cache-Partitioned CMP Platforms. Search on Bibsonomy CLUSTER The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Shaahin Angizi, Zhezhi He, Adnan Siraj Rakin, Deliang Fan CMP-PIM: an energy-efficient comparator-based processing-in-memory neural network accelerator. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Sizhao Li, Donghui Guo Cache Coherence Scheme for HCS-Based CMP and Its System Reliability Analysis. Search on Bibsonomy IEEE Access The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license