The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Myeong-Hyeon Lee, Yoon-Hwa Choi An Easily Testable and Reconfigurable Pipeline for Symmetric Block Ciphers. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Wen-Chung Kao, Sheng-Hong Wang, Wei-Hsin Chen, Lien-Yang Chen, Sheng-Yuan Lin Designing image processing pipeline for color imaging systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Lingfeng Li, Yang Song 0002, Takeshi Ikenaga, Satoshi Goto A CABAC Encoding Core with Dynamic Pipeline for H.264/AVC Main Profile. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Andrea Clematis, Daniele D'Agostino, Vittoria Gianuzzi Load Balancing and Computing Strategies in Pipeline Optimization for Parallel Visualization of 3D Irregular Meshes. Search on Bibsonomy PVM/MPI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Yong Xiao, Runde Zhou Single-track asynchronous pipeline controller design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jin-Sung Park, So-Hyun Ryu, Yong-won Kwon, Chang-Sung Jeong Interactive Visualization Pipeline Architecture Using Work-Flow Management System on Grid for CFD Analysis. Search on Bibsonomy GCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Hai Phuong Le, Aladin Zayegh, Jugdutt Singh Noise Analysis of a Reduced Complexity Pipeline Analog-to-Digital Converter. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25John Teifel, Rajit Manohar Programmable Asynchronous Pipeline Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25M. A. Elhirbawy, Les S. Jennings, S. M. Al Dhalaan, W. W. L. Keerthipala Practical results and finite difference method to analyze the electric and magnetic field coupling between power transmission line and pipeline. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Tao Feng, Nohpill Park, Yong-Bin Kim, Vincenzo Piuri Yield Modeling and Analysis of a Clockless Asynchronous Wave Pipeline with Pulse Faults. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Gang Qu 0001, Miodrag Potkonjak Techniques for energy-efficient communication pipeline design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Marc Langenbach, Stephan Thesing, Reinhold Heckmann Pipeline Modeling for Timing Analysis. Search on Bibsonomy SAS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Depth Control for Processor Power-Management. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Mrinal Bose, Elizabeth M. Rudnick, Magdy S. Abadir Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Kiamal Z. Pekmestzi, Paraskevas Kalivas Constant Number Serial Pipeline Multipliers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF constant number multiplication, serial multipliers, systolic circuits, canonic signed digit representation
25Srihari Cadambi, Seth Copen Goldstein Efficient Place and Route for Pipeline Reconfigurable Architectures. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Bengt-Olaf Schneider, Jim van Welzen Efficient Polygon Clipping for an SIMD Graphics Pipeline. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF single-instruction multiple-data (SIMD) computer, deferred clipping, clip-plane pairs, edge batching, perspective projection, Polygon clipping
25Chuan-Hua Chang, Edward S. Davidson, Karem A. Sakallah Maximum rate single-phase clocking of a closed pipeline including wave pipelining, stoppability, and startability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Qin Gu, Jizhou Sun A virtual reality emulation system model based on GPU computation. Search on Bibsonomy VRCAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fixed-function pipeline, programmable pipeline, virtual reality, GPU
23Markus Lindgren, Hans Hansson, Henrik Thane Using measurements to derive the worst-case execution time. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF execution time analysis, program flow analysis, low level timing information, low level timing analysis, program execution times, timing measurements, instrumented version, program fragments, non-exhaustive measurements, program paths, realistic processor model, scheduling, real-time systems, real time systems, embedded systems, worst-case execution time, pipeline processing, schedulability analysis, program diagnostics, architectural modeling, pipeline architectures, flow graphs, timing estimates, target architecture, system of linear equations
23Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao Co-Scheduling Hardware and Software Pipelines. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Classical Pipeline Theory, Software Pipelining, Pipeline Architecture, VLIW Architectures, Co-Scheduling
23Kien A. Hua, Lishing Liu, Jih-Kwon Peir Designing High-Performance Processors Using Real Address Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF high-performance processors, real address prediction, cache access path, shorter cycle time, pipeline stages, prediction methods, pipeline processing, buffer storage, address translation
23Wen-mei W. Hwu, Pohua P. Chang Efficient Instruction Sequencing with Inline Target Insertion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF instruction sequencing, inline target insertion, delayed branches, squashing, branch slots, program counter, parallel programming, compiler, pipeline, interrupts, program compilers, pipeline processing, exceptions
23James E. Smith, Andrew R. Pleszkun Implementing Precise Interrupts in Pipelined Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF precise recovery, precise interrupt problem, saved process state, sequential model of program execution, architectural order, parallel pipeline structure, Cray-1S scalar architecture, performance evaluation, parallel architectures, interrupts, pipeline processing, system recovery, pipelined processors, performance degradation
23Robert P. Roesser Two-Dimensional Microprocessor Pipelines for Image Processing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF two-dimensional pipeline, Digital image processor, microprocessor array, microprocessor pipeline, space-domain processing, state-space processing, parallel processors, microcomputers
23Valek Szwarc, Luc Desormeaux, Wilson Wong, Clifford P. S. Yeung, Chong H. Chan, Tad A. Kwasniewski A chip set for pipeline and parallel pipeline FFT architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Fang Liu, Meng-Cheng Huang, Xuehui Liu, Enhua Wu FreePipe: a programmable parallel rendering architecture for efficient multi-fragment effects. Search on Bibsonomy SI3D The full citation details ... 2010 DBLP  DOI  BibTeX  RDF depth peeling, multi-fragment effects, order-independent transparency, programmable graphics pipeline, graphics hardware, compute unified device architecture (CUDA), rasterizer, atomic operation
23Weirong Jiang, Viktor K. Prasanna Large-scale wire-speed packet classification on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, decision tree, pipeline, sram, packet classification
23Rupak Samanta, Ganesh Venkataraman, Nimay Shah, Jiang Hu Elastic Timing Scheme for Energy-Efficient and Robust Performance. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Elstic, Razor, Pipeline, Boosting
23Tibor Horvath, Tarek F. Abdelzaher, Kevin Skadron, Xue Liu 0001 Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF voltage control, distributed algorithms, Power management, pipeline processing, optimization methods, network servers, soft real-time systems
23Xianfeng Li, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra 0001, Xu Cheng 0001 A Retargetable Software Timing Analyzer Using Architecture Description Language. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF retargetable software timing analyzer, static WCET analysis, program path analysis, microarchitecture modeling, graph-based execution models, pipeline model, real-time systems, architecture description language, worst case execution time, embedded processors, branch prediction, schedulability analysis
23Rama Sangireddy Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wide-issue processors, integer pipeline, rename logic complexity, front-end power consumption
23David J. Duke, Malcolm Wallace, Rita Borgo, Colin Runciman Fine-grained Visualization Pipelines and Lazy Functional Languages. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pipeline model, functional programming, laziness
23Peter Yiannacouras, Jonathan Rose, J. Gregory Steffan The microarchitecture of FPGA-based soft processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, application specic tradeoff, FPGA, pipeline, exploration, embedded processor, ASIP, microarchitecture, soft processor
23Peggy B. McGee, Steven M. Nowick A lattice-based framework for the classification and design of asynchronous pipelines. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF protocols, framework, pipeline, asynchronous, digital design
23Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
23Myungsook Klassen, Russell Stockard, Ali Akbari Stimulating information technology education among underrepresented minorities. Search on Bibsonomy SIGITE Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer science college major, computer science pipeline, status attainment model, underrepresented minorities, upward bound program, information technology, self-efficacy, social cognition theory
23Miguel V. Correia 0002, Aurélio C. Campilho A Pipelined Real-Time Optical Flow Algorithm. Search on Bibsonomy ICIAR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipeline hardware, real-time, optical flow, motion analysis
23José A. Tierno, Sergey V. Rylov, Alexander V. Rylyakov, Montek Singh, Steven M. Nowick An Adaptively-Pipelined Mixed Synchronous-Asynchronous Digital FIR Filter Chip Operating at 1.3 GigaHertz. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF PRML read channel, magnetic recording, asynchronous pipeline, digital arithmetic, FIR filter, dynamic logic, high-throughput, low-latency, distributed arithmetic, mixed timing
23Ryo Takata, Kenji Kise, Hiroki Honda, Toshitsugu Yuba DEM-1: A Particle Simulation Machine for Efficient Short-Range Interaction Computations. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Embedded Atom Method, Parallel architectures, Molecular dynamics, Pipeline architectures, Particle simulation
23Srinivasan Dasasathyan, Rajesh Radhakrishnan, Ranga Vemuri Framework for Synthesis of Virtual Pipelines. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Virtual Pipeline, SLAAC-1V board, JHDL, FPGAs, Pipelining, Dynamic Reconfiguration, Partial Reconfiguration
23Sukumar Nandi, Ch. Rambabu, Parimal Pal Chaudhuri A VLSI Architecture for Cellular Automata Based Reed-Solomon Decoder. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cellular Automata(CA), S b EC-D b ED, Extended Reed-Soloman code, t b EC - t b ED, Cellular Automata Array(CAA), VLSI, pipeline
23Gary S. Tyson, Todd M. Austin Improving the Accuracy and Performance of Memory Communication Through Renaming. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation
23Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
23Eric Persoon A Pipelined Image Analysis System Using Custom Integrated Circuits. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF pipelined image analysis system, custom integrated circuits, iconic image-processing, mask generation, programmable image delay, subsample filtering, computer vision, computerised picture processing, pipeline processing, shape recognition, digital integrated circuits, computer vision system
22Umeshwar Dayal, Malú Castellanos, Alkis Simitsis, Kevin Wilkinson Data integration flows for business intelligence. Search on Bibsonomy EDBT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data integration, data warehousing, business intelligence, ETL
22Jie Chen 0007, Baoquan Chen Architectural Modeling from Sparsely Scanned Range Data. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Geometry reconstruction, Range image, 3D scanning
22Weirong Jiang, Viktor K. Prasanna Parallel IP lookup using multiple SRAM-based pipelines. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jason Ridenour, Jianghai Hu, Nathaniel Pettis, Yung-Hsiang Lu Low-Power Buffer Management for Streaming Data. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hoang Q. Dao, Bart R. Zeydel, Vojin G. Oklobdzija Energy optimization of pipelined digital systems using circuit sizing and supply scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
22Ronald D. Barnes, John W. Sias, Erik M. Nystrom, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache-miss tolerance, prefetching, out-of-order execution, Runahead execution
22Juan L. Aragón, José M. González, Antonio González 0001 Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, processor architecture, energy-aware systems, Control speculation
22Christopher T. Johnston, Donald G. Bailey, Paul J. Lyons Towards a visual notation for pipelining in a visual programming language for programming FPGAs. Search on Bibsonomy CHINZ The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, pipelining, visual programming language
22Wonbok Lee, Kimish Patel, Massoud Pedram B2Sim: : a fast micro-architecture simulator based on basic block characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, micro-architecture simulation, program behavior
22Manjunath Kudlur, Kevin Fan, Scott A. Mahlke Streamroller: : automatic synthesis of prescribed throughput accelerator pipelines. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system-level synthesis, loop accelerator, application-specific hardware
22Michael Attig, Gordon J. Brebner Systematic Characterization of Programmable Packet Processing Pipelines. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rahul P. Maddimsetty, Jeremy Buhler, Roger D. Chamberlain, Mark A. Franklin, Brandon Harris Accelerator design for protein sequence HMM search. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF protein motif, hidden Markov model, HMMER
22Minmin Han, Christine Hofmeister Modeling Request Routing in Web Applications. Search on Bibsonomy WSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Zuqiu Mao, Jiaoying Shi TOIGP: a new hierarchical depth occlusion. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hierarchical depth, triangle occlusion, occlusion culling, bound box, 3D graphics hardware
22Yong Ki Lee, Herwin Chan, Ingrid Verbauwhede Throughput Optimized SHA-1 Architecture Using Unfolding Transformation. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Daniel A. Jiménez, Gabriel H. Loh Controlling the Power and Area of Neural Branch Predictors for Practical Implementation in High-Performance Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Mihalis Psarakis, Dimitris Gizopoulos, Miltiadis Hatzimihail, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 Systematic software-based self-test for pipelined processors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF functional testing, software-based self-test, processor testing
22Jahangir Hasan, T. N. Vijaykumar Dynamic pipelining: making IP-lookup truly scalable. Search on Bibsonomy SIGCOMM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scalable, pipelined, IP-lookup, longest prefix matching, tries
22Wei Ling, Yvon Savaria Analysis of Wave-Pipelined Domino Logic Circuit and Clocking Styles Subject to Parametric Variations. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Billy Chen, Eyal Ofek, Heung-Yeung Shum, Marc Levoy Interactive deformation of light fields. Search on Bibsonomy SI3D The full citation details ... 2005 DBLP  DOI  BibTeX  RDF image-based rendering, deformation, light fields, 3D photography
22Nisrine Saadallah, Xiaohua Kong, Radu Negulescu High-Speed Reduced Stack Dual Lock Circuits. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ronald D. Barnes, Erik M. Nystrom, John W. Sias, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating in-order stalls with "flea-flicker" two-pass pipelining. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Masatoshi Kameyama, Yoshiyuki Kato, Hitoshi Fujimoto, Hiroyasu Negishi, Yukio Kodama, Yoshitsugu Inoue, Hiroyuki Kawai 3D graphics LSI core for mobile phone "Z3D". Search on Bibsonomy Graphics Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF graphics accelerator, graphics hardware, rendering hardware
22Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria An instruction-level energy model for embedded VLIW architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Viji Srinivasan, David M. Brooks, Michael Gschwind, Pradip Bose, Victor V. Zyuban, Philip N. Strenski, Philip G. Emma Optimizing pipelines for power and performance. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Juan L. Aragón, José González 0002, Antonio González 0001, James E. Smith 0001 Dual path instruction processing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch misprediction penalty, dual path processing, pre-scheduling, confidence estimation
22Chee How Lim, W. Robert Daasch, George Cai A Thermal-Aware Superscalar Microprocessor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Kalyan Muthukumar, Gautam Doshi Software Pipelining of Nested Loops. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22G. Hariprakash, R. Achutharaman, Amos Omondi DSTRIDE: Data-Cache Miss-Address-Based Stride Prefetching Scheme for Multimedia Processors. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Theory for Software-Hardware Co-Scheduling for ASIPs and Embedded Processors. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Yasunori Nagata, D. Michael Miller, Masao Mukaidono B-ternary Logic Based Asynchronous Micropipeline. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Mitsuhisa Sato, Shuichi Ichikawa, Eiichi Goto Multiple instruction streams in a highly pipelined processor. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Roger L. Wainwright Parallel Sieve Algorithms on a Hypercube Multiprocessor. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Desi Rhoden, Chris Wilcox Hardware acceleration for Window systems. Search on Bibsonomy SIGGRAPH The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Wen-mei W. Hwu, Thomas M. Conte, Pohua P. Chang Comparing Software and Hardware Schemes For Reducing the Cost of Branches. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Michael Deering, Stephanie Winner, Bic Schediwy, Chris Duffy, Neil Hunt The triangle processor and normal vector shader: a VLSI system for high performance graphics. Search on Bibsonomy SIGGRAPH The full citation details ... 1988 DBLP  DOI  BibTeX  RDF graphics VLSI, hardware lighting models, real-time image display, triangle processor, interpolation, shading
20Shenglin Gui, Lei Luo 0004 End-to-End Schedulability Analysis for Bi-directional Real-Time Multistage Pipeline. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF generalized pipeline system, end-to-end schedulability analysis
20Xiaoqiang Li, Hong An, Gu Liu, Wenting Han, Mu Xu, Wei Zhou, Qi Li 0034 A Non-blocking Programming Framework for Pipeline Application on Multi-core Platform. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF pipeline, programming model, work-stealing
20Bo Wang, Shifeng Shang, Qiming Fang, Weimin Zheng Parallel Task Developing Based on Software Pipeline in Multicore System. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel task building, software pipeline, multicore system
20Yu Song, Xuping Jiang Modeling and Application of OPNET-Based Pipeline Stages for HF Channel Simulation. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HF channel, wireless channel pipeline stages, simulation, modeling, OPNET
20Zheng-wei Hu, Dong-xing Duan, Zhi-yuan Xie, Xing Yang Pipeline Design of Transformation between Floating Point Numbers Based on IEEE754 Standard and 32-bit Integer Numbers. Search on Bibsonomy IITSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ieee754 standard, floating-point data, pipeline, data transform
20Yoon Seok Yang, Jun Ho Bahn, Seung Eun Lee, Nader Bagherzadeh Parallel and Pipeline Processing for Block Cipher Algorithms on a Network-on-Chip. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel and pipeline processing, security, network-on-chip, block cipher, software implementation
20Xiumin Wang, Yang Zhang, Qiang Ye, Shihua Yang A New Algorithm for Designing Square Root Calculators Based on FPGA with Pipeline Technology. Search on Bibsonomy HIS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, algorithm, pipeline, square root, Verilog HDL
20Guangzhong Sun, Guoliang Chen 0001 Distributed Pipeline Programming Framework for State-Based Pattern. Search on Bibsonomy GCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Distributed Pipeline, State pattern, Object-passing, Distributed programming
20Ahmad Tahmasebi, Arash Kamali, Hossein Balazadeh Bahar, Ziaeddin Daie Koozeh Kanani A Fully Digital Background Calibration Technique for Pipeline Analog-to-Digital Converters. Search on Bibsonomy ICSAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF digital calibration, pipeline converters, Analog to digital converter (ADC)
20Ahmad Tahmasebi, Arash Kamali, Ziaeddin Daie Koozeh Kanani, Jafar Sobhi A Simple Background Interstage Gain Calibration Technique for Pipeline ADCs. Search on Bibsonomy ICSAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Reference ADC, Stage gain, Pipeline, Calibration, Analog-to-digital converter (ADC)
20Bin Zhou, David Hwang Implementations and Optimizations of Pipeline FFTs on Xilinx FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pipeline FFTs, FPGAs
20Welson Sun, Michael J. Wirthlin, Stephen Neuendorffer Combining module selection and resource sharing for efficient FPGA pipeline synthesis. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource sharing, pipeline scheduling, module selection, data-path synthesis
20Young-Cheol Bang, Hyunseung Choo On Bandwidth Adjusted Multicast Communications in Pipeline Router Architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cut-through, quality of service, multicast, pipeline, bandwidth
20Friedhelm Stappert, Andreas Ermedahl, Jakob Engblom Efficient longest executable path search for programs with complex flows and pipeline effects. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF pipeline timing, program flow, embedded systems, WCET, hard real-time, path search
20Adam M. Fass, Eric A. Bier, Eytan Adar PicturePiper: using a re-configurable pipeline to find images on the Web. Search on Bibsonomy UIST The full citation details ... 2000 DBLP  DOI  BibTeX  RDF image retrieval, pipeline, dataflow, WWW searching
20SangMin Shim, Soo-Mook Moon Split-path Enhanced Pipeline Scheduling for Loops with Control Flows. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF all-path pipelining, enhanced pipeline scheduling, initiation interval, multi-path loops, software pipelining, modulo scheduling
20Pei-Hsin Ho, Adrian J. Isles, Timothy Kam Formal verification of pipeline control using controlled token nets and abstract interpretation. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF controlled token net, pipeline control verification, model checking, formal verification, computer-aided design, abstract interpretation, functional verification, processor verification
20Sam S. Appleton, Shannon V. Morton, Michael J. Liebelt Two-Phase Asynchronous Pipeline Control. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-phase asynchronous pipeline control, bounded-delay model, prototype microprocessor, microprocessor chips
Displaying result #201 - #300 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license