The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1994 (30) 1995 (18) 1996 (19) 1997 (25) 1998 (32) 1999 (43) 2000 (50) 2001 (40) 2002 (62) 2003 (68) 2004 (98) 2005 (127) 2006 (147) 2007 (183) 2008 (186) 2009 (145) 2010 (148) 2011 (173) 2012 (181) 2013 (156) 2014 (197) 2015 (163) 2016 (196) 2017 (185) 2018 (163) 2019 (192) 2020 (175) 2021 (192) 2022 (192) 2023 (242) 2024 (52)
Publication types (Num. hits)
article(1429) data(1) incollection(2) inproceedings(2434) phdthesis(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 989 occurrences of 488 keywords

Results
Found 3882 publication records. Showing 3880 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Slow write driver faults in 65nm SRAM technology: analysis and March test solution. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Luca Sterpone, Massimo Violante Static and Dynamic Analysis of SEU Effects in SRAM-Based FPGAs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Hamid R. Zarandi, Seyed Ghassem Miremadi, Dhiraj K. Pradhan, Jimson Mathew Soft Error Mitigation in Switch Modules of SRAM-based FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Olivier Thomas, Marina Reyboz, Marc Belleville Sub-1V, Robust and Compact 6T SRAM cell in Double Gate MOS technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Bastien Giraud, Amara Amara, Andrei Vladimirescu A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Riaz Naseer, Younes Boulghassoul, Jeff Draper, Sandeepan DasGupta, Art Witulski Critical Charge Characterization for Soft Error Rate Modeling in 90nm SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Davide Quarta, Marco D. Santambrogio SEU mitigation for sram-based fpgas through dynamic partial reconfiguration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault detection, SEU, partial dynamic reconfiguration
27Minh Quang Do, Mindaugas Drazdziulis, Per Larsson-Edefors, Lars Bengtsson Leakage-Conscious Architecture-Level Power Estimation for Partitioned and Power-Gated SRAM Arrays. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Tamer Cakici, Keejong Kim, Kaushik Roy 0001 FinFET Based SRAM Design for Low Standby Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Deblina Sarkar, Samiran Ganguly, Deepanjan Datta, A. Ananda Prasad Sarab, Sudeb Dasgupta Modeling of Leakages in Nano-Scale DG MOSFET to Implement Low Power SRAM: A Device/Circuit Co-Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Rajiv V. Joshi, Keunwoo Kim, Richard Q. Williams, Edward J. Nowak, Ching-Te Chuang A High-Performance, Low Leakage, and Stable SRAM Row-Based Back-Gate Biasing Scheme in FinFET Technology. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jorge García-Vidal, Maribel March, Llorenç Cerdà, Jesús Corbal, Mateo Valero A DRAM/SRAM Memory Scheme for Fast Packet Buffers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-performance memory systems, Router architecture, storage schemes, packet buffers
27Mohammed Y. Niamat, Dinesh Nemade, Mohsin M. Jamali Testing embedded RAM modules in SRAM-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ding-Ming Kwai, Ching-Hua Hsiao, Chung-Ping Kuo, Chi-Hsien Chuang, Min-Chung Hsu, Yi-Chun Chen, Yu-Ling Sung, Hsien-Yu Pan, Chia-Hsin Lee, Meng-Fan Chang, Yung-Fa Chou SRAM Cell Current in Low Leakage Design. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Derek Ho, Kris Iniewski, Soraya Kasnavi, A. Ivanov, S. Natarajan Ultra-low power 90nm 6T SRAM cell for wireless sensor network applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Praveen Elakkumanan, Jente B. Kuang, Kevin J. Nowka, Ramalingam Sridhar, Rouwaida Kanj, Sani R. Nassif SRAM Local Bit Line Access Failure Analyses. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Minh Quang Do, Mindaugas Drazdziulis, Per Larsson-Edefors, Lars Bengtsson Parameterizable Architecture-Level SRAM Power Model Using Circuit-Simulation Backend for Leakage Calibration. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Charan Thondapu, Praveen Elakkumanan, Ramalingam Sridhar RG-SRAM: A Low Gate Leakage Memory Design. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Saibal Mukhopadhyay, Arijit Raychowdhury, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001, Narayanan Vijaykrishnan Online Detection and Diagnosis of Multiple Configuration Upsets in LUTs of SRAM-Based FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Rabiul Islam, Adam Brand, Dave Lippincott Low power SRAM techniques for handheld products. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF back-bias, bitcell, memory, leakage
27Olivier Thomas, Amara Amara Ultra low voltage design considerations of SOI SRAM memory cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Matteo Sonza Reorda, Luca Sterpone, Massimo Violante Efficient Estimation of SEU Effects in SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Hage-Hassan Data Retention Fault in SRAM Memories: Analysis and Detection Procedures. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yen-Jen Chang, Feipei Lai, Chia-Lin Yang Zero-aware asymmetric SRAM cell for reducing cache power in writing zero. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27M. Bellato, Paolo Bernardi, D. Bortolato, A. Candelori, M. Ceschia, Alessandro Paccagnella, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante, P. Zambolin Evaluating the Effects of SEUs Affecting the Configuration Memory of an SRAM-Based FPGA. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yi-Ming Sheng, Ming-Jun Hsiao, Tsin-Yuan Chang A Measurement Unit for Input Signal Analysis of SRAM Sense Amplifier. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Lilian Bossuet, Guy Gogniat, Wayne P. Burleson Dynamically Configurable Security for SRAM FPGA Bitstreams. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Statistical design and optimization of SRAM cell for yield enhancement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Benjamin M. Mauck, Vishnumohan Ravichandran, Usman Azeez Mughal A Design for Test Technique for Parametric Analysis of SRAM: On-Die Low Yield Analysis. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Ghazanfar Asadi, Seyed Ghassem Miremadi, Hamid R. Zarandi, Ali Reza Ejlali Evaluation of Fault-Tolerant Designs Implemented on SRAM-Based FPGAs. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Vijay Degalahal, Narayanan Vijaykrishnan, Mary Jane Irwin Analyzing Soft Errors in Leakage Optimized SRAM Design. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Chih-Wea Wang, Chi-Feng Wu, Jin-Fu Li 0001, Cheng-Wen Wu, Tony Teng, Kevin Chiu, Hsiao-Ping Lin A Built-in Self-Test Scheme with Diagnostics Support for Embedded SRAM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory BIST, memory diagnostics, memory testing, RAM, semiconductor memory
27Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Simulation-Based Analysis of SEU Effects on SRAM-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Robert Gibbins, R. Dean Adams, Thomas J. Eckenrode, Michael Ouellette, Yuejian Wu Design and Test of a 9-port SRAM for a 100Gb/s STS-1 Switch. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Mario R. Casu, Philippe Flatresse Converting an Embedded Low-Power SRAM from Bulk to PD-SOI. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Hong-Yi Huang, Hsuan-Yi Su Low-power 2P2N SRAM with column hidden refresh. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Robert K. Grube, Qi Wang, Sung-Mo Kang Design limitations in deep sub-0.1µm CMOS SRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GIDL, on-chip cache, tunneling currents, gate leakage
27Raymond J. Sung, John C. Koob, Tyler L. Brandon, Duncan G. Elliott, Bruce F. Cockburn Design of an Embedded Fully-Depleted SOI SRAM. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi Testing SRAM-Based Content Addressable Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF March C algorithm, fault detection, fault modeling, memory testing, Content addressable memory
27Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-Based FPGAs: Testing the Embedded RAM Modules. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG, RAM, iterative testing
27Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos An analytical, transistor-level energy model for SRAM-based caches. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-based FPGA's: testing the LUT/RAM modules. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Hiroyuki Yamauchi, Toru Iwata, Hironori Akamatsu, Akira Matsuzawa A 0.5 V single power supply operated high-speed boosted and offset-grounded data storage (BOGS) SRAM cell architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Zhe Feng 0002, Naifeng Jing, GengSheng Chen, Yu Hu 0002, Lei He 0001 IPF: In-Place X-Filling to Mitigate Soft Errors in SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF in-place, soft error, don't care, mitigation, SRAM-based FPGA
26Darsen D. Lu, Chung-Hsun Lin, Ali M. Niknejad, Chenming Hu Compact Modeling of Variation in FinFET SRAM Cells. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multigate MOSFETs, variability, design for manufacturing, SRAM, design and test, FinFET, compact modeling
26Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine Impact of Resistive-Bridging Defects in SRAM Core-Cell. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF core-cell, resistive-bridging defects, SRAM
26Amara Amara, Bastien Giraud, Olivier Thomas An Innovative 6T Hybrid SRAM Cell in sub-32 nm Double-Gate MOS Technology. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SRAM cell, Planar Double-Gate (DG), Fully Depleted SOI (FD-SOI), read and write tradeoffs, Ultra Low Voltage (ULV)
26Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan A Combined DOE-ILP Based Power and Read Stability Optimization in Nano-CMOS SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Nanoscale CMOS, SRAM, Power Dissipation, Static Noise Margin
26Rajiv V. Joshi, Keunwoo Kim, Rouwaida Kanj FinFET SRAM Design. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF variability, SRAM, FinFET, Double gate
26Ghazanfar Asadi, Mehdi Baradaran Tahoori Soft error rate estimation and mitigation for SRAM-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF soft error rate estimation, error recovery, SRAM-based FPGA
26Martin Margala Low-Power SRAM Circuit Design. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design, VLSI, low-power, SRAM, low-voltage
26V. Kim, T. Chen Assessing SRAM test coverage for sub-micron CMOS technologies. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF submicron CMOS technologies, SRAM test coverage assessment, memory fault probability model, memory array, data retention faults, memory fault coverages, memory test algorithms, functional fault class coverages, 0.5 to 1 mum, stuck-at faults, transition faults, stuck-open faults, coupling faults, physical defects, CMOS memory circuits
24Shinichi Yasuda, Tetsufumi Tanamoto, Kazutaka Ikegami, Atsuhiro Kinoshita, Keiko Abe, Hirotaka Nishino, Shinobu Fujita High-performance FPGA based on novel DSS-MOSFET and non-volatile configuration memory (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dopant-segregated schottky transistor, nonvolatile configurable memory
24Mitchelle Rasquinha, Dhruv Choudhary, Subho Chatterjee, Saibal Mukhopadhyay, Sudhakar Yalamanchili An energy efficient cache design using spin torque transfer (STT) RAM. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF (STT)RAM, memory technologies, cache design
24Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 Tolerating process variations in large, set-associative caches: The buddy cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF caches, Processor architectures, fault recovery, memory structures
24Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Jian Li 0059, Yiran Chen 0001 A novel architecture of the 3D stacked MRAM L2 cache for CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Roberto Cornacchia, Sándor Héman, Marcin Zukowski, Arjen P. de Vries, Peter A. Boncz Flexible and efficient IR using array databases. Search on Bibsonomy VLDB J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Array databases, Information retrieval, Query optimization, Database compression
24Hisashige Ando, Ryuji Kan, Yoshiharu Tosaka, Keiji Takahisa, Kichiji Hatanaka Validation of hardware error recovery mechanisms for the SPARC64 V microprocessor. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Sherif A. Tawfik, Volkan Kursun Work-function engineering for reduced power and higher integration density: An alternative to sizing for stability in FinFET memory circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Sherif A. Tawfik, Volkan Kursun Dynamic wordline voltage swing for low leakage and stable static memory banks. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Tony Tae-Hyoung Kim, Jason Liu 0004, John Keane 0001, Chris H. Kim Circuit techniques for ultra-low power subthreshold SRAMs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Sandeep S. Kumar, Jorge Guajardo, Roel Maes, Geert Jan Schrijen, Pim Tuyls The Butterfly PUF: Protecting IP on every FPGA. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Rajani Kuchipudi, Hamid Mahmoodi Strain Silicon Optimization for Memory and Logic in Nano-Scale CMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Nian-Feng Tzeng Routing Table Partitioning for Speedy Packet Lookups in Scalable Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF line cards, prefix matching search, routing table lookups, Caches, interconnects, routers, tries, forwarding engines
24Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Hae-woo Park, Kyoungjoo Oh, Soyoung Park, Myoung-min Sim, Soonhoi Ha Dynamic code overlay of SDF-modeled programs on low-end embedded systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Qing K. Zhu Memory Generation and Power Distribution In SOC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tianzhou Chen, Wei Hu 0001, Yi Lian Power-Efficient Microkernel of Embedded Operating System on Chip. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power-efficient, microkernel, embedded operating system
24Jason E. Miller, Anant Agarwal Software-based instruction caching for embedded processors. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction cache, chaining, software caching
24Sailesh Kumar, Jonathan S. Turner, Patrick Crowley Addressing Queuing Bottlenecks at High Speeds. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Sumesh Udayakumaran, Rajeev Barua Compiler-decided dynamic memory allocation for scratch-pad based embedded systems. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, compiler, memory allocation, scratch-pad
24Nian-Feng Tzeng Hardware-Assisted Design for Fast Packet Forwarding in Parallel Routers. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Oren Avissar, Rajeev Barua, Dave Stewart An optimal memory allocation scheme for scratch-pad-based embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded, Memory, heterogeneous, storage, allocation
24Joohee Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Energy recovering static memory. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adiabatic circuitry, charge recovery, cache memories, on-chip memories, low-power computing, low-energy design
24Osamu Hirabayashi, Azuma Suzuki, Tomoaki Yabe, Atsushi Kawasumi, Yasuhisa Takeyama, Keiichi Kushida, Akihito Tohata, Nobuaki Otsuka DFT Techniques for Wafer-Level At-Speed Testing of High-Speed SRAMs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Oren Avissar, Rajeev Barua, Dave Stewart Heterogeneous memory management for embedded systems. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded, memory, heterogeneous, storage
24Mohammad H. Tehranipour, Zainalabedin Navabi, Seid Mehdi Fakhraie An efficient BIST method for testing of embedded SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Khoan Truong A Simple Built-In Self Test For Dual Ported SRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Luca Benini, Alberto Macii, Massimo Poncino A recursive algorithm for low-power memory partitioning. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Vicente Baena Lecuyer, M. A. Aguirre, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo, Julio Faura Decoder-driven switching matrices in multicontext FPGAs: area reduction and their effect on routability. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Leonidas I. Kontothanassis, Rabin A. Sugumar, Greg Faanes, James E. Smith 0001, Michael L. Scott Cache performance in vector supercomputers. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Ron Bourassa, Tim Coffman, Joe Brewer Ultra large scale static rams. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Björn Osterloh, Harald Michalik, Björn Fiethe SoCWire: A Robust and Fault Tolerant Network-on-Chip Approach for a Dynamic Reconfigurable System-on-Chip in FPGAs. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoCWire, dynamic reconfigurable system, Sytem-on-Chip, Network-on-Chip, SRAM-based FPGA, VMC
23Weirong Jiang, Viktor K. Prasanna Field-split parallel architecture for high performance multi-match packet classification using FPGAs. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-match packet classification, fpga, sram, nids
23Weirong Jiang, Viktor K. Prasanna Multi-terabit ip lookup using parallel bidirectional pipelines. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ip lookup, terabit, pipeline, sram, bidirectional
23Shah M. Jahinuzzaman, Mohammad Sharifkhani, Manoj Sachdev Investigation of Process Impact on Soft Error Susceptibility of Nanometric SRAMs Using a Compact Critical Charge Model. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical charge, process variation, Soft error, SRAM
23Franz X. Ruckerbauer, Georg Georgakos Soft Error Rates in 65nm SRAMs--Analysis of new Phenomena. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NSER, ASER, multi-bit upset, soft errors and radiation, CMOS, SRAM, SEU
23Kiyoo Itoh 0001, Masanao Yamaoka, Takayuki Kawahara Low-voltage limitations of deep-sub-100-nm CMOS LSIs: view of memory designers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FD-SOI, VT variation, bulk, deep-sub-100-nm CMOS LSIs, minimum VDD, speed variation, leakage, SRAM, DRAM, logic gate
23Meng-Fan Chang, Kuei-Ann Wen Power and Substrate Noise Tolerance of Configurable Embedded Memories in SoC. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF supply noise, SRAM, substrate noise, ROM
23Prassanna Sithambaram, Alberto Macii, Enrico Macii Exploring the impact of architectural parameters on energy efficiency of application-specific block-enabled SRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DBL, DWL, partitioning, embedded, memories, SRAM, application-specific
23Stefanos Kaxiras, Polychronis Xekalakis 4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 4T SRAM, architecture, sensor, leakage, temperature
23Baosheng Wang, Josh Yang, André Ivanov Reducing Test Time of Embedded SRAMs. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded SRAM test, Inductive Fault Analysis, Memory Redundancy, March Test, Test Time
23Kiyoo Itoh 0001 Low-voltage memories for power-aware systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing
23Amit Agarwal 0001, Hai Li, Kaushik Roy 0001 DRG-cache: a data retention gated-ground cache for low power. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gated-ground, low leakage cache, SRAM
23Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Tomoo Inoue, Hideo Fujiwara Testing for the programming circuit of LUT-based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF programming circuit, control circuit, configuration memory cell array, FPGA, fault model, SRAM, shift registers, shift registers, look-up table
23Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi Multiple fault detection in logic resources of FPGAs. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic resources, AND tree, OR tree, CLB test generation, field programmable gate arrays, fault model, configurability, testability, programmability, multiple fault detection, SRAM-based FPGA
23Ad J. van de Goor, Yervant Zorian Effective march algorithms for testing single-order addressed memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Read/Write memories, single-address order, single-order addressed memory, SRAM, memory testing, March test
22Kota Shiba, Mitsuji Okada, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda A 7-nm FinFET 1.2-TB/s/mm2 3D-Stacked SRAM Module With 0.7-pJ/b Inductive Coupling Interface Using Over-SRAM Coil and Manchester-Encoded Synchronous Transceiver. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Seyed Hassan Hadi Nemati, Nima Eslami, Mohammad Hossein Moaiyeri A Hybrid SRAM/RRAM In-Memory Computing Architecture Based on a Reconfigurable SRAM Sense Amplifier. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3880 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license