The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Virtex with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-2000 (31) 2001 (34) 2002 (59) 2003 (80) 2004 (82) 2005 (74) 2006 (133) 2007 (128) 2008 (130) 2009 (59) 2010 (26) 2011-2013 (22) 2014-2018 (18) 2019-2023 (12)
Publication types (Num. hits)
article(105) incollection(1) inproceedings(782)
Venues (Conferences, Journals, ...)
FPL(164) FPGA(91) FCCM(58) IPDPS(36) DSD(20) ISCAS(20) IEEE Trans. Very Large Scale I...(16) DATE(15) ARC(14) ICES(13) ASAP(12) IEEE International Workshop on...(11) ISVLSI(11) AHS(10) J. VLSI Signal Process.(10) CHES(8) More (+10 of total 216)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 616 occurrences of 334 keywords

Results
Found 888 publication records. Showing 888 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Nicolas Farrugia, Franck Mamalet, Sébastien Roux, Fan Yang 0019, Michel Paindavoine A Parallel Face Detection System Implemented on FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Dong-U Lee, John D. Villasenor, Wayne Luk, Philip Heng Wai Leong A Hardware Gaussian Noise Generator Using the Box-Muller Method and Its Error Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF elementary function approximation, minimax approximation and algorithms, simulation, optimization, field programmable gate arrays, computer arithmetic, error analysis, random number generation, Algorithms implemented in hardware
16Bo Yang 0010, Ramesh Karri, David A. McGrew A High-Speed Hardware Architecture for Universal Message Authentication Code. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Usama Malik, Oliver Diessel The Entropy of FPGA Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Daniel Ziener, Stefan Assmus, Jürgen Teich Identifying FPGA IP-Cores Based on Lookup Table Content Analysis. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hanho Lee, Chang-Seok Choi Implementation of a FIR Filter on a Partial Reconfigurable Platform. Search on Bibsonomy KES (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Michael Hübner 0001, Katarina Paulsson, Jürgen Becker 0001 Parallel and Flexible Multiprocessor System-On-Chip for Adaptive Automotive Applications based on Xilinx MicroBlaze Soft-Cores. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Todd S. Sproull, Gordon J. Brebner, Christopher E. Neely Mutable Codesign for Embedded Protocol Processing. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16T. S. B. Sudarshan, Rahil Mir, S. Vijayalakshmi DRIL- A Flexible Architecture for Blowfish Encryption Using Dynamic Reconfiguration, Replication, Inner-Loop Pipelining, Loop Folding Techniques. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Blowfish, inner loop pipeline, loop folding, four - tier architecture, Platform independent architecture, DRIL Architecture, replication, Dynamic reconfiguration
16Balasubramanian Sethuraman, Prasun Bhattacharya, Jawad Khan, Ranga Vemuri LiPaR: A light-weight parallel router for FPGA-based networks-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoCRouter, FPGA, networks-on-chip
16Nicholas Weaver, John R. Hauser, John Wawrzynek The SFRA: a corner-turn FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA CAD, FPGA design study, FPGA optimization, FPGA architecture
16Ian Kuon, Aaron Egier, Jonathan Rose Transistor grouping and metal layer trade-offs in automatic tile layout of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Erik Chmelar Subframe multiplexing for FPGA manufacturing test configuration. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Elias Todorovich, Eduardo I. Boemo, Francisco Cardells-Tormo, Javier Valls Power analysis and estimation tool integrated with XPOWER. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Nalin Sidahao Optimized Field Programmable Gate Array Based Function Evaluation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Quoc Thai Ho, Daniel Massicotte FPGA Implementation of Adaptive Multiuser Detector for DS-CDMA Systems. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Nalin Sidahao, George A. Constantinides, Peter Y. K. Cheung Multiple Restricted Multiplication. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Gustavo Sutter, Gery Bioul, Jean-Pierre Deschamps Comparative Study of SRT-Dividers in FPGA. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Maya B. Gokhale, Janette Frigo, Christine Ahrens, Justin L. Tripp, Ronald G. Minnich Monte Carlo Radiative Heat Transfer Simulation on a Reconfigurable Computer. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Michael J. Thul, Norbert Wehn FPGA implementation of parallel turbo-decoders. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wireless, parallel architecture, FPGA implementation, turbo-decoder
16Maya B. Gokhale, Paul S. Graham, Darrel Eric Johnson, Nathan Rollins, Michael J. Wirthlin Dynamic Reconfiguration for Management of Radiation-Induced Faults in FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Raj Krishnamurthy, Sudhakar Yalamanchili, Karsten Schwan, Richard West ShareStreams: A Scalable Architecture and Hardware Support for High-Speed QoS Packet Schedulers. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Evaggelia Theochari, Konstantinos Tatas, Dimitrios Soudris, Kostas Masselos, Konstantinos Potamianos, Spyros Blionas, Antonios Thanailakis A reusable IP FFT core for DSP applications. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Seonil Choi, Ronald Scrofano, Viktor K. Prasanna, Ju-wook Jang Energy-efficient signal processing using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF energy efficient design techniques, matrix multiplicaiton, FPGA, FFT, performance estimation
16Kimmo U. Järvinen, Matti Tommiska, Jorma Skyttä A fully pipelined memoryless 17.8 Gbps AES-128 encryptor. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipelining, advanced encryption standard (AES)
16Prithviraj Banerjee, Vikram Saxena, Juan Ramon Uribe, Malay Haldar, Anshuman Nayak, Victor Kim, Debabrata Bagchi, Satrajit Pal, Nikhil Tripathi, Robert Anderson Making area-performance tradeoffs at the high level using the AccelFPGA compiler for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Seokjin Lee, Hua Xiang 0001, D. F. Wong 0001, Richard Y. Sun Wire type assignment for FPGA routing. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF min-cost flow algorithm, wire type assignment, FPGA routing
16Brandon Blodget, Philip James-Roxby, Eric Keller, Scott McMillan, Prasanna Sundararajan A Self-reconfiguring Platform. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Irwin Kennedy Exploiting Redundancy to Speedup Reconfiguration of an FPGA. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jean-Luc Beuchat FPGA Implementations of the RC6 Block Cipher. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Guillermo Payá Vayá, Marcos Martínez Peiró, Francisco José Ballester-Merelo, Francisco José Mora Mas Fully Parameterized Discrete Wavelet Packet Transform Architecture Oriented to FPGA. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jean-Luc Beuchat Modular Multiplication for FPGA Implementation of the IDEA Block Cipher. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Klaus Buchenrieder, Andreas Pyttel, Alexander Sedlmeier A Powerful System Design Methodology Combining OCAPI and Handel-C for Concept Engineering. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Anna Labbé, Annie Pérez AES Implementation on FPGA: Time - Flexibility Tradeoff. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jérémie Detrey, Florent de Dinechin Multipartite Tables in JBits for the Evaluation of Functions on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Srinivasan Dasasathyan, Rajesh Radhakrishnan, Ranga Vemuri Framework for Synthesis of Virtual Pipelines. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Virtual Pipeline, SLAAC-1V board, JHDL, FPGAs, Pipelining, Dynamic Reconfiguration, Partial Reconfiguration
16Raj Krishnamurthy, Sudhakar Yalamanchili, Karsten Schwan, Richard West Architecture and Hardware for Scheduling Gigabit Packet Streams. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Máire McLoone, John V. McCanny Single-Chip FPGA Implementation of the Advanced Encryption Standard Algorithm. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Encryption, AES, Rijndael, FPGA Implementation
16Pawel Chodowiec, Kris Gaj, Peter Bellows, Brian Schott Experimental Testing of the Gigabit IPSec-Compliant Implementations of Rijndael and Triple DES Using SLAAC-1V FPGA Accelerator Board. Search on Bibsonomy ISC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Andrew M. Tyrrell, Gordon Hollingworth, Stephen L. Smith 0002 Evolutionary Strategies And Intrinsic Fault Tolerance. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Máire McLoone, John V. McCanny High Performance Single-Chip FPGA Rijndael Algorithm Implementations. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Encryption, AES, Rijndael, FPGA Implementation
16Scott McMillan, Steve Guccione Partial Run-Time Reconfiguration Using JRTR. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Arran Derbyshire, Wayne Luk Combining Serialization and Reconfiguration for Convolver Designs. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Ka Hei Leung, K. W. Ma, Wai Keung Wong, Philip Heng Wai Leong FPGA Implementation of a Microcoded Elliptic Curve Cryptographic Processor. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Jiyu Zhang, Zhiru Zhang, Sheng Zhou, Mingxing Tan, Xianhua Liu 0001, Xu Cheng 0001, Jason Cong Bit-level optimization for high-level synthesis and FPGA-based acceleration. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bit-level optimization, fpga, high-level synthesis
8Deepak Unnikrishnan, Ramakrishna Vadlamani, Yong Liao, Abhishek Dwaraki, Jérémie Crenne, Lixin Gao 0001, Russell Tessier Scalable network virtualization using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, virtual networks
8Zefu Dai, Nick Ni, Jianwen Zhu A 1 cycle-per-byte XML parsing accelerator. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bart, schema validation, string comparison, xml parsing, ethernet, bloom filter, dom, tree construction
8Sunwoo Kim, Won Woo Ro FPGA implementation of highly parallelized decoder logic for network coding (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, network coding, fpga implementation, galois field arithmetic
8Sunita Chandrasekaran, Shilpa Shanbagh, Douglas L. Maskell A dependency graph based methodology for parallelizing HLL applications on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpgas, bioinformatics, sequence alignment, data dependency analysis, smith-waterman algorithm
8Kenneth M. Zick, John P. Hayes On-line sensing for healthier FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-line sensing, physically-adaptive computing., fpgas, reliability, process variation, leakage, temperature, dynamic power, ring oscillator, static power, health management
8Mingjie Lin, Ilia A. Lebedev, John Wawrzynek High-throughput bayesian computing machine with reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable hardware, bayesian computing
8Marc-André Daigneault, Jean-Pierre David Towards 5ps resolution TDC on a dynamically reconfigurable FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF time-to-digital converter, vernier method, field programmable gate array, dynamic reconfiguration
8Yi-Hua E. Yang, Viktor K. Prasanna High throughput and large capacity pipelined dynamic search tree on FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 2-3 tree, in-place update, ip forwarding, pipelined tree, b-tree, dynamic update, incremental update, openflow
8Rahul Bhattacharya, Santosh Biswas, Siddhartha Mukhopadhyay FPGA based chip emulation system for test development and verification of analog and mixed signal circuits (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ams testing, concurrent test development, behavioral modeling
8Yong Dou, Yuanwu Lei, Guiming Wu, Song Guo 0003, Jie Zhou 0007, Li Shen FPGA accelerating double/quad-double high precision floating-point applications for ExaScale computing. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double-double precision, high precision floating-point multiplication and accumulation (HP-MAC), quad-double precision, FPGA
8Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
8Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
8Masayuki Hiromoto, Hiroki Sugano, Ryusuke Miyamoto Partially Parallel Architecture for AdaBoost-Based Detection With Haar-Like Features. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Tian Song, Dongsheng Wang 0002, Zhizhong Tang A parameterized multilevel pattern matching architecture on FPGAs for network intrusion detection and prevention. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network intrusion prevention, network security, pattern matching, network intrusion detection
8Roman L. Lysecky, Frank Vahid Design and implementation of a MicroBlaze-based warp processor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF soft processor cores, FPGA, dynamic optimization, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation
8Kanupriya Gulati, Suganth Paul, Sunil P. Khatri, Srinivas Patil, Abhijit Jas FPGA-based hardware acceleration for Boolean satisfiability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Boolean satisfiabilty (SAT), boolean constant propagation (BCP), conflict induced clauses, non-chronological backtrack, FPGA
8Carlo Galuzzi, Chunyang Gou, Humberto Calderon, Georgi Gaydadjiev, Stamatis Vassiliadis High-bandwidth Address Generation Unit. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Address generation unit, Stride, Parallel memory
8Camille Leroux, Christophe Jégo, Patrick Adde, Michel Jézéquel High-throughput Block Turbo Decoding: From Full-parallel Architecture to FPGA Prototyping. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Block turbo decoding, Full-parallel architecture, Complexity analysis, FPGA implementation
8Petr Kobierský, Jan Korenek, Libor Polcak Packet header analysis and field extraction for multigigabit networks. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Christophe Desmouliers, Erdal Oruklu, Jafar Saniie FPGA-based design of a high-performance and modular video processing platform. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8V. Kumar Murty, Nikolajs Volkovs ERINDALE: A Polynomial Based Hashing Algorithm. Search on Bibsonomy IWCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Siddharth Gupta 0001, Christopher Hunter, Patrick Murphy, Ashutosh Sabharwal WARPnet: clean slate research on deployed wireless networks. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF warpnet, measurement, wireless, control, warp
8Stephen Jang, Dennis Wu, Mark Jarvin, Billy Chan, Kevin Chung, Alan Mishchenko, Robert K. Brayton SmartOpt: an industrial strength framework for logic synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF abc, blif, edge flow, smartopt, fpga, interface, technology mapping
8Yanteng Sun, Peng Li 0031, Guochang Gu, Yuan Wen, Yuan Liu, Dong Liu HMMer acceleration using systolic array based reconfigurable architecture. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable., systolic array, acceleration, hmmer
8Weirong Jiang, Viktor K. Prasanna Large-scale wire-speed packet classification on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, decision tree, pipeline, sram, packet classification
8Zuo Wang, Feng Shi 0009, Qi Zuo, Weixing Ji, Mengxiao Liu N-port memory mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical-to-physical mapping, n-port memory, fpga, hierarchy
8David B. Thomas, Lee W. Howes, Wayne Luk A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mppa, fpga, monte-carlo, random numbers, gpu
8Florian Dittmann 0001, Elmar Weber, Norma Montealegre Implementation of the reconfiguration port scheduling on the erlangen slot machine. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF erlangen slot machine, scheduling, fpgas, reconfiguration
8Melina Demertzi, Pedro C. Diniz, Mary W. Hall, Anna C. Gilbert, Yi Wang Computation reuse in domain-specific optimization of signal recognition. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computation reuse, signal recognition, fpga
8Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig Performance and power of cache-based reconfigurable computing. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF c-to-gates, c-to-hardware, co-processor accelerators, fpga, caches
8Bowei Zhang, Guochang Gu, Lin Sun, Yanxia Wu 32-bit floating-point FPGA gaussian elimination. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga., floating-point, gaussian elimination
8Daniel Le Ly, Paul Chow A high-performance FPGA architecture for restricted boltzmann machines. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural network hardware, restricted boltzmann machines, scalable hardware designs, fpga, high-performance computing, complexity reduction
8Xinyu Li, Omar Hammami Small scale multiprocessor soft IP (SSM IP): single FPGA chip area and performance evaluation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, multiprocessor, network on chip
8Yee Jern Chong, Sri Parameswaran Flexible multi-mode embedded floating-point unit for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-precision, embedded block, fpu, fpga, floating-point, fpga architecture
8Robin Pottathuparambil, Ron Sass A parallel/vectorized double-precision exponential core to accelerate computational science applications. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF exponential core, fpga, cordic
8Junguk Cho, Shahnam Mirzaei, Jason Oberg, Ryan Kastner Fpga-based face detection system using Haar classifiers. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF haar classifier, fpga, image processing, real-time, architecture, face detection, adaboost
8Abdul-Rahman Elshafei, Azzedine Zerguine, Abdelhafid Bouhraoua Scalable FPGA implementation for mixed-norm LMS-LMF adaptive filters. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LMS-LMF, FPGA, adaptive filters, scalable architecture
8Pierre Bomel, Jérémie Crenne, Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat Ultra-Fast Downloading of Partial Bitstreams through Ethernet. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bitstream server, ultra-fast downloading, FPGA, Ethernet, partial reconfiguration, link layer
8Yanteng Sun, Peng Li, Guochang Gu, Yuan Wen, Yuan Liu, Dong Liu Accelerating HMMer on FPGAs using systolic array based architecture. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Jochen Strunk, Toni Volkmer, Klaus Stephan, Wolfgang Rehm, Heiko Schick Impact of run-time reconfiguration on design and speed - A case study based on a grid of run-time reconfigurable modules inside a FPGA. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Jie Li 0004, Haibo He, Hong Man, Sachi Desai A General-Purpose FPGA-Based Reconfigurable Platform for Video and Image Processing. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF video and image processing, edge detection, Reconfigurable system, FPGA design, image scaling
8Sjoerd Meijer, Hristo Nikolov, Todor P. Stefanov On compile-time evaluation of process partitioning transformations for Kahn process networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programming of MPSoC, transformations, Kahn process networks
8Krzysztof Kepa, Fearghal Morgan, Krzysztof Kosciuszkiewicz, Lars Braun, Michael Hübner 0001, Jürgen Becker 0001 FPGA Analysis Tool: High-Level Flows for Low-Level Design Analysis in Reconfigurable Computing. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design assurance, bitstream debugging, security, FPGA, Reconfigurable Computing, design verification, EDA tools
8Jaeyoung Yi, Karam Park, Joonseok Park, Won Woo Ro Fully Pipelined Hardware Implementation of 128-Bit SEED Block Cipher Algorithm. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Block Cipher Algorithm, Field Programmable Gate Arrays (FPGA), Cryptography, SEED
8Gang Zhou, Harald Michalik, László Hinsenkamp Improving Throughput of AES-GCM with Pipelined Karatsuba Multipliers on FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AES-GCM, pipelined Karatsuba multiplier, FPGAs, finite field arithmetic
8Sameh M. Shohdy, Ashraf El-Sisi, Nabil A. Ismail FPGA Implementation of Elliptic Curve Point Multiplication over GF(2191). Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Karatsuba-Ofman multiplier, polynomial inversion, field programmable gate arrays, Elliptic curve cryptography, Galois field, polynomial multiplication
8Alejandro Castillo Atoche, Deni Torres Román, Yuriy Shkvarko Near Real Time Enhancement of Remote Sensing Imagery Based on a Network of Systolic Arrays. Search on Bibsonomy CIARP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Network of Systolic Arrays, Remote Sensing, Hardware/Software Co-Design
8Abdulhadi Shoufan, Thorsten Wink, H. Gregor Molter, Sorin A. Huss, Falko Strenzke A Novel Processor Architecture for McEliece Cryptosystem and FPGA Platforms. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Seunghun Jin, Dongkyun Kim, Thuy Tuong Nguyen, Bongjin Jun, Daijin Kim 0001, Jae Wook Jeon An FPGA-based Parallel Hardware Architecture for Real-Time Face Detection Using a Face Certainty Map. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Ray C. C. Cheung, Çetin Kaya Koç, John D. Villasenor A High-Performance Hardware Architecture for Spectral Hash Algorithm. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Julien Lamoureux, Tony Field, Wayne Luk Accelerating a Virtual Ecology Model with FPGAs. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Vinay B. Y. Kumar, Siddharth Joshi, Sachin B. Patkar, H. Narayanan FPGA Based High Performance Double-Precision Matrix Multiplication. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Weirong Jiang, Viktor K. Prasanna Field-split parallel architecture for high performance multi-match packet classification using FPGAs. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-match packet classification, fpga, sram, nids
8David B. Thomas, Wayne Luk Multivariate Gaussian Random Number Generation Targeting Reconfigurable Hardware. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Random numbers, multivariate Gaussian distribution
8David Slogsnat, Alexander Giese, Mondrian Nüssle, Ulrich Brüning 0001 An open-source HyperTransport core. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
Displaying result #201 - #300 of 888 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license