The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
43Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De, T. M. Mak Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage-Aware Design. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Animesh Kumar, Jan M. Rabaey, Kannan Ramchandran SRAM supply voltage scaling: A reliability perspective. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Andrew B. Kahng, Swamy Muddu, Puneet Sharma Impact of Gate-Length Biasing on Threshold-Voltage Selection. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Vijay Degalahal, Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Soft errors issues in low-power caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Le Yan, Jiong Luo, Niraj K. Jha Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect Detection Using Quiescent Signal Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing
43Liqiong Wei, Zhanping Chen, Kaushik Roy 0001, Mark C. Johnson, Yibin Ye, Vivek De Design and optimization of dual-threshold circuits for low-voltage low-power applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
42Siva G. Narendra Challenges and design choices in nanoscale CMOS. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanoscale, process variation, CMOS, leakage power
42Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
40Jonathan Katz, Vinod Vaikuntanathan Signature Schemes with Bounded Leakage Resilience. Search on Bibsonomy ASIACRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Kwangok Jeong, Andrew B. Kahng, Hailong Yao Revisiting the linear programming framework for leakage power vs. performance optimization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Behnam Amelifard, Farzan Fallah, Massoud Pedram Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Roberto Giorgi, Paolo Bennati Reducing Leakage through Filter Cache. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Andrea Alimonda, Andrea Acquaviva, Salvatore Carta Temperature and Leakage Aware Power Control for Embedded Streaming Applications. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Jinseob Jeong, Seungwhun Paik, Youngsoo Shin Statistical mixed Vt allocation of body-biased circuits for reduced leakage variation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum ZZ-HVS: Zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Houman Homayoun, Alexander V. Veidenbaum, Jean-Luc Gaudiot Adaptive techniques for leakage power management in L2 cache peripheral circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Jason Xue Minimizing Leakage Energy with Modulo Scheduling for VLIW DSP Processors. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Yousra Alkabani, Tammara Massey, Farinaz Koushanfar, Miodrag Potkonjak Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF input vector control, low power, manufacturing variability
40Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Nikhil Jayakumar, Sunil P. Khatri An algorithm to minimize leakage through simultaneous input vector control and circuit modification. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jaehyun Kim, Youngsoo Shin Minimizing leakage power in sequential circuits by using mixed Vt flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Houman Homayoun, Alexander V. Veidenbaum Reducing leakage power in peripheral circuits of L2 caches. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan Voltage drop reduction for on-chip power delivery considering leakage current variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Rodrigo Jaramillo-Ramirez, Mohab Anis A Dual-Threshold FPGA Routing Design for Subthreshold Leakage Reduction. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Khaled R. Heloue, Navid Azizi, Farid N. Najm Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Ashesh Rastogi, Wei Chen, Sandip Kundu On Estimating Impact of Loading Effect on Leakage Current in Sub-65nm Scaled CMOS Circuits Based on Newton-Raphson Method. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jun Seomun, Jaehyun Kim, Youngsoo Shin Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jae-Joon Kim, Kaushik Roy 0001 A Leakage-Tolerant Low-Swing Circuit Style in Partially Depleted Silicon-on-Insulator CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Chris H. Kim, Kaushik Roy 0001, Steven Hsu, Ram Krishnamurthy 0001, Shekhar Borkar A process variation compensating technique with an on-die leakage current sensor for nanometer scale dynamic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40José Luis Rosselló, Carol de Benito, Sebastià A. Bota, Jaume Segura 0001 Leakage Power Characterization Considering Process Variations. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Sarvesh Bhardwaj, Yu Cao 0001, Sarma B. K. Vrudhula Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Hyung-Ock Kim, Youngsoo Shin Analysis and optimization of gate leakage current of power gating circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Kris Tiri, Patrick Schaumont, Ingrid Verbauwhede Side-Channel Leakage Tolerant Architectures. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Emrah Acar, Kanak Agarwal, Sani R. Nassif Characterization of total chip leakage using inverse (reciprocal) gamma distribution. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Volkan Kursun, Zhiyu Liu Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri A probabilistic method to determine the minimum leakage vector for combinational designs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Deniz Dal, Adrian Nunez, Nazanin Mansouri Power Islands: A High-Level Technique for Counteracting Leakage in Deep Sub-Micron. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Saraju P. Mohanty, Ramakrishna Velagapudi, Elias Kougianos Dual-K Versus Dual-T Technique for Gate Leakage Reduction : A Comparative Perspective. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Ranganath Gopalan, Chandramouli Gopalakrishnan, Srinivas Katkoori Leakage Power Driven Behavioral Synthesis of Pipelined Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Wei Zhang 0002 Compiler-Directed Data Cache Leakage Reduction. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Enrico Macii Leakage power optimization in standard-cell designs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Feng Gao 0017, John P. Hayes Exact and heuristic approaches to input vector control for leakage power reduction. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Volkan Kursun, Eby G. Friedman Node Voltage Dependent Subthreshold Leakage Current Characteristics of Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Y. Z. Xu, O. Pohland, C. Cai, Helmut Puchner Leakage Increase of Narrow and Short BCPMOS. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Ali Muhtaroglu, Benoit Provost, Tawfik Rahal-Arabi, Greg Taylor I/O Self-Leakage Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Sergey Romanovsky, Arun Achyuthan, Sreedhar Natarajan, Wing Leung Leakage Reduction techniques in a 0.13um SRAM Cell. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Kaviraj Chopra, Sarma B. K. Vrudhula, Sarvesh Bhardwaj Efficient Algorithms for Identifying the Minimum Leakage States in CMOS Combinational Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Navid Azizi, Farid N. Najm, Andreas Moshovos Low-leakage asymmetric-cell SRAM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Yann-Hang Lee, Krishna P. Reddy, C. Mani Krishna 0001 Scheduling Techniques for Reducing Leakage Power in Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Chandramouli Gopalakrishnan, Srinivas Katkoori An Architectural Leakage Power Simulator for VHDL Structural Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40SeongHan Shin, Kazukuni Kobara, Hideki Imai Leakage-Resilient Authenticated Key Establishment Protocols. Search on Bibsonomy ASIACRYPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Rafik S. Guindi, Farid N. Najm Design Techniques for Gate-Leakage Reduction in CMOS Circuits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David T. Blaauw Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Mark C. Johnson, Dinesh Somasekhar, Lih-Yih Chiou, Kaushik Roy 0001 Leakage control with efficient use of transistor stacks in single threshold CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Fadi A. Aloul, Soha Hassoun, Karem A. Sakallah, David T. Blaauw Robust SAT-Based Search Algorithm for Leakage Power Reduction. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Jinhui Wang, Lei Zuo, Na Gong, Daming Gao, Shuqin Geng, Wang Zhang, Ligang Hou, Xiaohong Peng, Wuchen Wu Estimation for Speed and Leakage Power of Dual Threshold Domino OR Based on Wavelet Neural Networks. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dual threshold domino OR, Leakage power, Speed, Wavelet Neural Networks
39Jian-Jia Chen, Tei-Wei Kuo Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF job procrastination, leakage-aware scheduling, scheduling, dynamic voltage scaling, energy-aware systems
39Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Modeling and estimating leakage current in series-parallel CMOS networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage current modeling, static power dissipation, CMOS gates
39Jian-Jia Chen, Tei-Wei Kuo Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage-aware scheduling, scheduling, dynamic voltage scaling, fixed-priority scheduling, energy-aware systems, rate-monotonic scheduling
39Rahul Nagpal, Y. N. Srikant Compiler-assisted leakage energy optimization for clustered VLIW architectures. Search on Bibsonomy EMSOFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, leakage energy, energy-aware scheduling, clustered VLIW processors
39Ke Meng, Russ Joseph Process variation aware cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gated-VDD, selective cache ways, low power, process variation, leakage, cache management
39Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
39Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino STV-Cache: a leakage energy-efficient architecture for data caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture, caches, leakage power
39Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
39Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee A sink-n-hoist framework for leakage power reduction. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF balanced scheduling, compilers for low power, power-gating mechanisms, data-flow analysis, leakage power reduction
39Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
39Azadeh Davoodi, Ankur Srivastava 0001 Probabilistic dual-Vth leakage optimization under variability. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, process variations, leakage, automatic synthesis
39Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Peak temperature control and leakage reduction during binding in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
39Somsubhra Mondal, Seda Ogrenci Memik Fine-grain leakage optimization in SRAM based FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hierarchical LUT, FPGA, low power, leakage power
39Xiaoyong Tang, Hai Zhou 0001, Prithviraj Banerjee Leakage power optimization with dual-Vth library in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vth, optimization, high-level synthesis, leakage power
39Sarvesh Bhardwaj, Sarma B. K. Vrudhula Leakage minimization of nano-scale circuits in the presence of systematic and random variations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, statistical, leakage, geometric programming
39Keunwoo Kim, Koushik K. Das, Rajiv V. Joshi, Ching-Te Chuang Nanoscale CMOS circuit leakage power reduction by double-gate device. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF double-gate device, short-channel effect, leakage power
39Ali Bastani, Charles A. Zukowski Design of superbuffers in sub-100nm CMOS technologies with significant gate leakage. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF gate leakage reduction, superbuffers, low power design
39Masaharu Goto, Toshinori Sato Leakage Energy Reduction in Register Renaming. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF super-scalar processors, embedded processors, register renaming, deep submicron, Leakage energy
39Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande HIDE: an infrastructure for efficiently protecting information leakage on the address bus. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
39Ashish Srivastava, Dennis Sylvester, David T. Blaauw Statistical optimization of leakage power considering process variations using dual-Vth and sizing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, variability, leakage
39Ravindra Jejurikar, Cristiano Pereira, Rajesh K. Gupta 0001 Leakage aware dynamic voltage scaling for real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF critical speed, procrastication, real-time systems, leakage power, EDF scheduling, low power scheduling
39Oleg Semenov, Arman Vassighi, Manoj Sachdev Leakage Current in Sub-Quarter Micron MOSFET: A Perspective on Stressed Delta IDDQ Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MOSFET leakage, reliability, quality, CMOS integrated circuits, I DDQ testing
39Xuning Chen, Li-Shiuan Peh Leakage power modeling and optimization in interconnection networks. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection networks, leakage power, power optimization
39Weiping Liao, Fei Li 0003, Lei He 0001 Microarchitecture level power and thermal simulation considering temperature dependent leakage model. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, leakage, thermal
39Siva G. Narendra, Vivek De, Shekhar Borkar, Dimitri A. Antoniadis, Anantha P. Chandrakasan Full-chip sub-threshold leakage power prediction model for sub-0.18 µm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sub-threshold leakage, CMOS, within-die variation
39Masaya Sumita High resolution body bias techniques for reducing the impacts of leakage current and parasitic bipolar. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF band-to band tunneling, body bias generator, dead lock, leakage components, process compensation, substrate bias, process variation, leakage current, CMOS scaling
39Xiaoqing Wen, Hideo Tamamoto, Kozo Kinoshita Transistor leakage fault location with ZDDQ measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field effect transistor circuits, transistor leakage fault location, I/sub DDQ/ measurement, equivalence fault collapsing, diagnosed faults, gate-array circuit, fault diagnosis, logic testing, random tests, fault location, CMOS logic circuits, leakage currents, logic arrays, CMOS circuit, deterministic tests, electric current measurement, diagnostic resolution
37Yuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Influence of Leakage Reduction Techniques on Delay/Leakage Uncertainty. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Kalyana C. Bollapalli, Rajesh Garg, Kanupriya Gulati, Sunil P. Khatri Low power and high performance sram design using bank-based selective forward body bias. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high performance, body bias
37Javid Jaffari, Mohab Anis Statistical Thermal Profile Considering Process Variations: Analysis and Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Animesh Kumar, Huifang Qin, Prakash Ishwar, Jan M. Rabaey, Kannan Ramchandran Fundamental Data Retention Limits in SRAM Standby Experimental Results. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF standby, data retention, low power, SRAM, error control code
37Weiping Liao, Lei He 0001, Kevin M. Lepak Temperature and supply Voltage aware performance and power modeling at microarchitecture level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Jun-Cheol Park, Vincent John Mooney III Pareto Points in SRAM Design Using the Sleepy Stack Approach. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Harmander Deogun, Rahul M. Rao, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka Dynamically Pulsed MTCMOS with Bus Encoding for Total Power and Crosstalk Minimization. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Liqiong Wei, Zhanping Chen, Mark Johnson, Kaushik Roy 0001, Vivek De Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
Displaying result #201 - #300 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license