The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1991 (16) 1992-1994 (20) 1995 (19) 1996 (18) 1997-1998 (22) 1999 (24) 2000-2001 (23) 2002 (18) 2003 (25) 2004 (28) 2005 (25) 2006 (27) 2007 (34) 2008 (25) 2009-2010 (20) 2011-2013 (18) 2014-2016 (15) 2017-2018 (17) 2019-2023 (19) 2024 (1)
Publication types (Num. hits)
article(124) book(1) inproceedings(287) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 369 occurrences of 249 keywords

Results
Found 414 publication records. Showing 414 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Suwen Yang, Brian D. Winters, Mark R. Greenstreet Energy Efficient Surfing. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11K. Praveen Jayakar Thomas, Ram Singh Rana, Yong Lian 0001 A 1GHz CMOS fourth-order continuous-time bandpass sigma delta modulator for RF receiver front end A/D conversion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Indradeep Ghosh High Level Test Generation for Custom Hardware: An Industrial Perspective. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Soonhak Kwon, Chang Kim, Chun Pyo Hong Unidirectional Two Dimensional Systolic Array for Multiplication in GF(2m) Using LSB First Algorithm. Search on Bibsonomy WILF The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LSB first algorithm, VLSI, finite field, Systolic array, data flow, fault tolerant architecture
11Li-Hsun Chen, Oscal T.-C. Chen, Teng-Yi Wang, Yung-Cheng Ma A multiplication-accumulation computation unit with optimized compressors and minimized switching activities. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ming Zhang 0017, Naresh R. Shanbhag An energy-efficient circuit technique for single event transient noise-tolerance. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Zhiyuan Yan, Dilip V. Sarwate Area-efficient two-dimensional architectures for finite field inversion and division. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cryptography, finite field, Reed-Solomon codes, arithmetic, galois field
11Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft Error Modeling and Protection for Sequential Elements. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Dual-Edge Triggered Static Pulsed Flip-Flops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Subhasish Mitra, Tanay Karnik, Norbert Seifert, Ming Zhang Logic soft errors in sub-65nm technologies design and CAD challenges. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architectural vulnerability factor, built-in soft error resilience, derating, error blocking, error detection, recovery, soft error
11Peter-Michael Seidel, Guy Even Delay-Optimized Implementation of IEEE Floating-Point Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE rounding, dual path algorithm, optimized gate sizing, buffer insertion, delay optimization, logical effort, Floating-point addition
11Soumitra Bose Modeling Custom Digital Circuits for Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ATPG, fault simulation, logic simulation, switch-level modeling
11Seok Il Song, Young Ho Kim, Jae Soo Yoo An Enhanced Concurrency Control Scheme for Multidimensional Index Structures. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, Concurrency control, multidimensional index structure
11J. A. Palmer, James F. Mulling, Brian Dessent, Edward Grant, Jeffrey W. Eischen, Alexei Gruverman, A. I. Kingon, Paul D. Franzon The Design, Fabrication, and Characterization of Millimeter Scale Motors for Miniature Direct Drive Robots. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Delong Shang, Frank P. Burns, Alexandre V. Bystrov, Albert Koelmans, Danil Sokolov, Alexandre Yakovlev A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline Design Based on Self-Resetting Stage Logic. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yajun Ran, Alex Kondratyev, Yosinori Watanabe, Malgorzata Marek-Sadowska Eliminating False Positives in Crosstalk Noise Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Aristides Efthymiou, Christos P. Sotiriou, Douglas A. Edwards Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ron Ho, Jonathan Gainsley, Robert J. Drost Long Wires and Asynchronous Control. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Il-soo Lee, Yong Min Hur, Tony Ambler The Efficient Multiple Scan Chain Architecture Reducing Power Dissipation and Test Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Minoru Watanabe, Fuminori Kobayashi A High-Density Optically Reconfigurable Gate Array Using Dynamic Method. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mario R. Casu, Luca Macchiarulo Floorplanning for throughput. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems-on-chip, throughput, floorplanning, wire pipelining
11Ruiming Chen, Hai Zhou 0001 Clock schedule verification under process variations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Sani R. Nassif The impact of variability on power. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, variability, integrated circuit
11Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 A CPL-based dual supply 32-bit ALU for sub 180nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DSM leakage control and scaling trends, dual supply ALU design, low power techniques
11Keunwoo Kim, Koushik K. Das, Rajiv V. Joshi, Ching-Te Chuang Nanoscale CMOS circuit leakage power reduction by double-gate device. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF double-gate device, short-channel effect, leakage power
11Masayuki Tsukisaka, Masashi Imai, Takashi Nanya Asynchronous Scan-Latch controller for Low Area Overhead DFT. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Chunyan Wang 0004, Kuo-Ting Wu Design of a pixel array circuit for thinning process. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Abdel Ejnioui, Abdelhalim Alsharqawi Self-resetting stage logic pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless, self-resetting, pipeline, asynchronous
11Peilin Song, Franco Stellari, Alan J. Weger, Tian Xia A Novel Scan Chain Diagnostics Technique Based on Light Emission from Leakage Current. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11T. Thorp, D. Liu, P. Trivedi Analysis of blocking dynamic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Soha Hassoun, Christopher Cromer, Eduardo H. Calvillo Gámez Static timing analysis for level-clocked circuits in the presence of crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Edmund M. Clarke, Orna Grumberg, Somesh Jha, Yuan Lu 0004, Helmut Veith Counterexample-guided abstraction refinement for symbolic model checking. Search on Bibsonomy J. ACM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF temporal logic, Abstraction, symbolic model checking, hardware verification
11Hai Zhou 0001 Timing Verification with Crosstalk for Transparently Latched Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Hai Li 0001, Swarup Bhunia, Yiran Chen 0001, T. N. Vijaykumar, Kaushik Roy 0001 Deterministic Clock Gating for Microprocessor Power Reduction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Structures fo Speculation Control. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
11Gurhan Kucuk, Oguz Ergin, Dmitry Ponomarev 0001, Kanad Ghose Distributed Reorder Buffer Schemes for Low Power. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Pak-Keung Leung, Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun A low power asynchronous GF(2173) ALU for elliptic curve crypto-processor. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ben A. Abderazek, Soichi Shigeta, Tsutomu Yoshinaga, Masahiro Sowa On the Design of a Register Queue Based Processor Architecture (FaRM-rq). Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Novel Transient Fault Hardened Static Latch. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kun Young Chung, Sandeep K. Gupta 0001 Structural Delay Testing of Latch-based High-speed Pipelines with Time Borrowing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Donald Chai, Alex Kondratyev, Yajun Ran, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska Temporofunctional crosstalk noise analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SAT formula, timed Boolean logic, crosstalk noise
11Premkishore Shivakumar, Michael Kistler, Stephen W. Keckler, Doug Burger, Lorenzo Alvisi Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Soha Hassoun, Eduardo H. Calvillo Gámez, Christopher Cromer Verifying Clock Schedules in the Presence of Cross Talk. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
11Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Depth Control for Processor Power-Management. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Tyler Thorp, Dean Liu Analysis of Blocking Dynamic Circuits. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hai Zhou 0001 Clock schedule verification with crosstalk. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF verification, delay, coupling, clock schedule
11Baris Taskin, Ivan S. Kourtev Performance optimization of single-phase level-sensitive circuits using time borrowing and non-zero clock skew. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, linear programming, clock skew, cycle stealing
11Soonhak Kwon Low Complexity Bit Serial Systolic Multipliers over GF(2m) for Three Classes of Finite Fields. Search on Bibsonomy ICICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF systolic multiplier, finite field, basis, all one polynomial
11Chiou-Yng Lee, Ya-Cheng Lu, Erl-Huei Lu Low-complexity systolic multiplier over GF(2m) using weakly dual basis. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Martin Foltin, Brian Foutz, Sean Tyler Efficient stimulus independent timing abstraction model based on a new concept of circuit block transparency. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing analysis, VLSI design, timing model, circuit optimization
11François R. Boyer, El Mostapha Aboulhamid, Yvon Savaria, Michel Boyer Optimal design of synchronous circuits using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software pipelining, retiming, Resynthesis
11Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Interconnect pipelining in a throughput-intensive FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Shanq-Jang Ruan, Jen-Chiun Lin, Po-Hung Chen, Kun-Lin Tsai, Feipei Lai Synthesis of partition-codec architecture for low power and small area circuit design. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Michele Favalli, Cecilia Metra Bridging Faults in Pipelined Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault modeling, bridging faults, CMOS circuits, pipelined circuits
11Nikolai Starodoubtsev, Alexandre V. Bystrov, Alexandre Yakovlev Semi-modular Latch Chains for Asynchronous Circuit Design. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Saeid Nooshabadi, Juan A. Montiel-Nelson, Antonio Núñez, Roberto Sarmiento, Javier Sosa A Single Phase Latch for High Speed GaAs Domino Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11José Antonio Sainz, R. Muñoz, J. A. Maiz, L. A. Aguado, Miquel Roca 0001 A Crosstalk Sensor Implementation for Measuring Interferences in Digital CMOS VLSI Circuits. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Sensor, CMOS, Crosstalk, Digital
11José G. Delgado-Frias, Jabulani Nyathi, Laxmi N. Bhuyan A wave-pipelined router architecture using ternary associative memory. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Luca de Alfaro, Thomas A. Henzinger, Freddy Y. C. Mang The Control of Synchronous Systems. Search on Bibsonomy CONCUR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Gianpiero Cabodi, Stefano Quer, Fabio Somenzi Optimizing sequential verification by retiming transformations. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Victor V. Zyuban, Peter M. Kogge Application of STD to latch-power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith Timing constraints for high-speed counterflow-clocked pipelining. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha, Sujit Dey Power management in high-level synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11David L. Harris, Mark Horowitz, Dean Liu Timing analysis including clock skew. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries
11Kedar S. Namjoshi, Robert P. Kurshan Efficient Analysis of Cyclic Definitions. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Mike J. G. Lewis, Jim D. Garside, L. E. M. Brackenbury Reconfigurable Latch Controllers for Low Power Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Oliver Hauck, M. Garg, Sorin A. Huss Two-Phase Asynchronous Wave-Pipelines and Their Application to a 2D-DCT. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Kei-Yong Khoo, Chao-Liang Chen, Alan N. Willson Jr. A CMOS pipelined carry-save array using true single-phase single-transistor-latch clocking. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11B. Le Chapelain, A. Mechain, Yvon Savaria, Guy Bois Development of a high performance TSPC library for implementation of large digital building blocks. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Robert C. Aitken Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Ludovic Jacomme, Frédéric Pétrot, Rajesh K. Bawa Formal Analysis of Single WAIT VHDL processes for Semantic Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11S. K. Jain, Leilei Song, Keshab K. Parhi Efficient semisystolic architectures for finite-field arithmetic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Wayne P. Burleson, Maciej J. Ciesielski, Fabian Klass, W. Liu Wave-pipelining: a tutorial and research survey. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Masahiro Fujii, Keiichi Numata, Tadashi Maeda, Masatoshi Tokushima, Shigeki Wada, Muneo Fukaishi, Masaoki Ishikawa A 150 mW 8: 1 MUX and a 170 mW 1: 8 DEMUX for 2.4 gb/s optical-fiber communication systems using n-AlGaAs/i-InGaAs HJFET's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Alex Kondratyev, Michael Kishinevsky, Alexandre Yakovlev Hazard-free implementation of speed-independent circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
11Sorin Cotofana, Stamatis Vassiliadis Periodic symmetric functions, serial addition, and multiplication with neural networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Ludovic Jacomme, Frédéric Pétrot, Rajesh K. Bawa Formal Extraction of Memorizing Elements for Sequential VHDL Synthesis. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Mark D. Aagaard, Robert B. Jones, Carl-Johan H. Seger Combining Theorem Proving and Trajectory Evaluation in an Industrial Environment. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Rumen Andonov, Sanjay V. Rajopadhye Knapsack on VLSI: from Algorithm to Optimal Circuit. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Application specific VLSI design, unbounded knapsack problem, space-time transformations, recurrence equations, dynamic dependencies, nonlinear discrete optimization, correctness preserving transformations, systolic arrays
11Eric M. Schwarz, Robert M. Averill III, Leon J. Sigal A Radix-8 CMOS S/390 Multiplier. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Booth algorithm, computer arithmetic, multiplication, multiplier, floating-point unit
11Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar A hierarchical decomposition methodology for multistage clock circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance driven router, routing, process variations, manufacturability, clock
11Charles J. DeVane Efficient circuit partitioning to extend cycle simulation beyond synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cycle simulation, levelized compiled code, logic simulation, circuit partitioning
11Stephen B. Furber, Paul Day Four-phase micropipeline latch control circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Andrzej Krasniewski Design of Dependable Hardware: What BIST is most Efficient? Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah Timing verification of sequential domino circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF domino gates, sequential domino circuits, static timing verification, logic testing, input signals
11Naresh Maheshwari, Sachin S. Sapatnekar A Practical Algorithm for Retiming Level-Clocked Circuits. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF level-clocked, retiming, clock skew, timing optimization
11Zaifu Zhang, Robert D. McLeod An Efficient Multiple Scan Chain Testing Scheme. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Maher E. Rizkalla, Richard L. Aldridge, Nadeem A. Khan, Harry C. Gundrum A CMOS VLSI Implementation of an NxN Multiplexing Circuitry for ATM Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen On Verifying the Correctness of Retimed Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 3-valued equivalence, delay-compensation, sequential ATPG, formal verification, retiming, equivalence-Checking
11Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical path delay fault coverage estimation for synchronous sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF path delay fault coverage estimation, multi-valued algebra, signal statistics, latch updating, fault diagnosis, logic testing, delays, probability, controllability, controllability, statistical analysis, sequential circuits, observability, observabilities, logic simulation, synchronous sequential circuits, statistical estimation
11Paul Day, John V. Woods Investigation into micropipeline latch design styles. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11William P. Marnane, Will R. Moore Testing VLSI regular arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testing regular arrays, C-testability, test vector generation
11K'Andrea C. Bickerstaff, Michael J. Schulte, Earl E. Swartzlander Jr. Parallel reduced area multipliers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Ajay Khoche, Erik Brunvand Testing self-timed circuits using partial scan. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential network, partial scan environment, logic testing, sequential circuits, asynchronous circuits, partial scan, data paths, self-timed circuits
11Soumitra Bose, Vishwani D. Agrawal Sequential logic path delay test generation by symbolic analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic path delay test generation, two-vector test sequences, non-scan sequential circuit, multivalued algebras, three-vector test sequences combinational logic, value propagation rule, ISCAS89 benchmarks, fault diagnosis, logic testing, delays, Boolean functions, Boolean functions, finite state machines, finite state machines, sequential circuits, encoding, automatic testing, Binary Decision Diagrams, multivalued logic, sequential machines, symbolic analysis, combinational logic, state transitions
Displaying result #301 - #400 of 414 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license