The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1994 (30) 1995 (18) 1996 (19) 1997 (25) 1998 (32) 1999 (43) 2000 (50) 2001 (40) 2002 (62) 2003 (68) 2004 (98) 2005 (127) 2006 (147) 2007 (183) 2008 (186) 2009 (145) 2010 (148) 2011 (173) 2012 (181) 2013 (156) 2014 (197) 2015 (163) 2016 (196) 2017 (185) 2018 (163) 2019 (192) 2020 (175) 2021 (192) 2022 (192) 2023 (242) 2024 (52)
Publication types (Num. hits)
article(1429) data(1) incollection(2) inproceedings(2434) phdthesis(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 989 occurrences of 488 keywords

Results
Found 3882 publication records. Showing 3880 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Feng Zhou, Zhijun Huang, Jiarong Tong, Pushan Tang An Analytical Delay Model for SRAM-Based FPGA Interconnections. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Lan Zhao, D. M. H. Walker, Fabrizio Lombardi IDDQ Testing of Input/Output Resources of SRAM-Based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Monica Alderighi, Sergio D'Angelo, Giacomo R. Sechi, Vincenzo Piuri Implementing a Self-Checking Neural System for Photon Event Identification by SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-checking systems, Field Programmable Gate Arrays, Artificial neural networks, Space applications
19Jian Xu, Paifa Si, Wei-Kang Huang, Fabrizio Lombardi A Novel Fault Tolerant Approach for SRAM-Based FPGAs. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, fault-tolerant routing, fault-tolerant architecture
19Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-Based FPGAs: A Fault Model for the Configurable Logig Modules. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19James G. Eldredge, Brad L. Hutchings Run-Time Reconfiguration: A method for enhancing the functional density of SRAM-based FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
16Hoang Le, Yi-Hua E. Yang, Viktor K. Prasanna Memory efficient string matching: a modular approach on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep packet classification, fpga, packet filtering
16Niti Madan, Li Zhao 0002, Naveen Muralimanohar, Aniruddha N. Udipi, Rajeev Balasubramonian, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Enabling ultra low voltage system operation by tolerating on-chip cache failures. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, low voltage operation, dynamic voltage scaling
16Junghwan Kim, Myeong-Cheol Ko, Hyun-Kyu Kang, Jinsoo Kim 0002 A Hybrid IP Forwarding Engine with High Performance and Low Power. Search on Bibsonomy ICCSA (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TCAM partitioning, a hybrid architecture, power saving, IP address lookup
16Sundar Iyer, Ramana Rao Kompella, Nick McKeown Designing packet buffers for router linecards. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hit-rate, line-card, cache, memory hierarchy, router, switches, packet buffer
16Yongsoo Joo, Yongseok Choi, Jaehyun Park 0005, Chanik Park, Sung Woo Chung, Eui-Young Chung, Naehyuck Chang Energy and Performance Optimization of Demand Paging With OneNAND Flash. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Eun-Tack Oh, Kwang-Sung Jung, Sung-Min Lee, Cheol-Hong Moon An Embedded SoC System IP to Trace Object and Distance. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Embedded SoC, IP Develop, Image Process, CCD Cameras
16Valeri Kirischian, Vadim Geurkov, Lev Kirischian A multi-mode video-stream processor with cyclically reconfigurable architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cost-performance ratio, video-stream processor, FPGA, computer architecture, reconfigurable computing, dynamic reconfiguration, pre-fetching, temporal partitioning
16Xiangyu Dong, Xiaoxia Wu, Guangyu Sun 0003, Yuan Xie 0001, Hai Li 0001, Yiran Chen 0001 Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking, MRAM
16Nan Hua, Bill Lin 0001, Jun (Jim) Xu, Haiquan (Chuck) Zhao BRICK: a novel exact active statistics counter architecture. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistics counter, router
16Yu-Wei Chang, Chih-Chi Cheng, Chun-Chia Chen, Hung-Chi Fang, Liang-Gee Chen 124 MSamples/s Pixel-Pipelined Motion-JPEG 2000 Codec Without Tile Memory. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Michael G. Benjamin, David R. Kaeli Stream Image Processing on a Dual-Core Embedded System. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks Process Variation Tolerant 3T1D-Based Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kang Yi, Shih-Yang Cheng, Young-Hwan Park, Fadi J. Kurdahi, Ahmed M. Eltawil An Alternative Organization of Defect Map for Defect-Resilient Embedded On-Chip Memories. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded memory Yield, Defect Map, Memory Error Resilient Design, Video error concealment
16Yu Zhou, Shijo Thekkel, Swarup Bhunia Low power FPGA design using hybrid CMOS-NEMS approach. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hybrid CMOS-NEMS, low power, FPGA design
16Thinh Ngoc Tran, Surin Kittitornkun FPGA-Based Cuckoo Hashing for Pattern Matching in NIDS/NIPS. Search on Bibsonomy APNOMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NIPS, FPGA, Pattern Matching, NIDS, Cuckoo Hashing
16Valentina Salapura, José R. Brunheroto, Fernando F. Redígolo, Alan Gara Exploiting eDRAM bandwidth with data prefetching: simulation and measurements. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Sayeed A. Badrudduza, Giby Samson, Lawrence T. Clark LCSRAM: A Leakage Controlled Six-transistor Static Random Access Memory Cell with Intrinsically High Read Stability. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls FPGA Intrinsic PUFs and Their Use for IP Protection. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Hamed F. Dadgour, Kaustav Banerjee Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kunhyuk Kang, Keejong Kim, Ahmad E. Islam, Muhammad Ashraful Alam, Kaushik Roy 0001 Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Mohammad J. Akhbarizadeh, Mehrdad Nourani, Deepak S. Vijayasarathi, T. Balsara A nonredundant ternary CAM circuit for network search engines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shen-Fu Hsiao, Yo-Chi Chen, Ming-Yu Tsai, Tze-Chong Cheng Novel Memory Organization and Circuit Designs for Efficient Data Access in Applications of 3D Graphics and Multimedia Coding. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yongsoo Joo, Yongseok Choi, Chanik Park, Sung Woo Chung, Eui-Young Chung, Naehyuck Chang Demand paging for OneNANDTM Flash eXecute-in-place. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF OneNAND, XIP, embedded systems, virtual memory, NAND flash memory, demand paging, page replacement
16Sailesh Kumar, John Maschmeyer, Patrick Crowley Exploiting locality to ameliorate packet queue contention and serialization. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF packet queuing, cache, buffering
16Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Leakage energy reduction techniques in deep submicron cache memories: a comparative study. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yi-Chih Chao, Ji-Kun Lin, Jar-Ferr Yang, Bin-Da Liu A High Throughput and Data Reuse Architecture for H.264/AVC Deblocking Filter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Masanori Hariyama, Michitaka Kameyama A Multi-Context FPGA Using a Floating-Gate-MOS Functional Pass-Gate and Its CAD Environment. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai An Automatic Cache Generator Based on Content-Addressable Memory. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Mahdi Nazm Bojnordi, Naser Sedaghati-Mokhtari, Omid Fatemi, Mahmoud Reza Hashemi An Efficient Self-Transposing Memory Structure for 32-bit Video Processors. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yan Lin 0001, Fei Li 0003, Lei He 0001 Circuits and architectures for field programmable gate array with configurable supply voltage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Amit Agarwal 0001, Bipul Chandra Paul, Hamid Mahmoodi-Meimand, Animesh Datta, Kaushik Roy 0001 A process-tolerant cache architecture for improved yield in nanoscale technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Vijay Degalahal, Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Soft errors issues in low-power caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Yan Lin 0001, Fei Li 0003, Lei He 0001 Power modeling and architecture evaluation for FPGA with novel circuits for Vdd programmability. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA power model, Vdd programmability, low power, FPGA architecture, dual-Vdd
16Somsubhra Mondal, Seda Ogrenci Memik, Debasish Das Hierarchical LUT structures for leakage power reduction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hamid R. Zarandi, Seyed Ghassem Miremadi Soft Error Mitigation in Cache Memories of Embedded Systems by Means of a Protected Scheme. Search on Bibsonomy LADC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kiran Puttaswamy, Gabriel H. Loh Implementing Caches in a 3D Technology for High Performance Processors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Stefania Perri, Pasquale Corsonello, Maria Antonia Iachino, Marco Lanuzza, Giuseppe Cocorullo Variable precision arithmetic circuits for FPGA-based multimedia processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Bin Sheng, Wen Gao 0001, Di Wu 0022 An implemented architecture of deblocking filter for H.264/AVC. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jason Hiser, Jack W. Davidson EMBARC: an efficient memory bank assignment algorithm for retargetable compilers. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partition assignment, embedded systems, retargetable compilers
16Minh Quang Do, Per Larsson-Edefors, Lars Bengtsson Table-Based Total Power Consumption Estimation of Memory Arrays for Architects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Joohee Kim, Conrad H. Ziesler Fixed-Load Energy Recovery Memory for Low Power. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ramesh V. Peri, John Fernando, Ravi K. Kolagotla Addressing mode driven low power data caches for embedded processors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Suresh Srinivasan, Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Yuan Xie 0001, Mary Jane Irwin Improving soft-error tolerance of FPGA configuration bits. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yajun Ran, Malgorzata Marek-Sadowska The Magic of a Via-Configurable Regular Fabric. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Amit Agarwal 0001, Bipul Chandra Paul, Kaushik Roy 0001 A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Bo-Sung Kim, Young-Gi Kim, Soon-Yang Hong Low Power 260 k Color TFT LCD One-Chip Driver IC. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri March iC-: An Improved Version of March C- for ADOFs Detection. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jorge García-Vidal, Jesús Corbal, Llorenç Cerdà, Mateo Valero Design and Implementation of High-Performance Memory Systems for Future Packet Buffers. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Philip Machanick, Zunaid Patel L1 Cache and TLB Enhancements to the RAMpage Memory Hierarchy. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Luca Benini, Luca Macchiarulo, Alberto Macii, Massimo Poncino Layout-driven memory synthesis for embedded systems-on-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Cristian Estan, George Varghese, Mike Fisk Counting the number of active flows on a high speed link. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Steven M. Currie, Paul R. Schumacher, Barry K. Gilbert, Earl E. Swartzlander Jr., Barbara A. Randall Implementation of a Single Chip, Pipelined, Complex, One-Dimensional Fast FourierTransform in 0.25 mu m BulkCMOS. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jong Won Park An Efficient Buffer Memory System for Subarray Access. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF High-resolution graphical display, buffer memory system, block access, address routing, memory module selection, image processing, address calculation
16Gerhard Lienhart, Reinhard Männer, Klaus-Henning Noffz, Ralf Lay An FPGA-based video compressor for H.263 compatible bit streams. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF distributed arithmetic
16Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF system design, data cache, data partitioning, system synthesis, scratch-pad memory, on-chip memory, memory synthesis
16Sandeep Sikka, George Varghese Memory-efficient state lookups with fast updates. Search on Bibsonomy SIGCOMM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TCP/IP
16Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi Detection of Inter-Port Faults in Multi-Port Static RAMs. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Abderrahim Doumar, Hideo Ito Testing the Logic Cells and Interconnect Resources for FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Tonia Morris, Erica Fletcher, Cyrus Afghahi, Sami Issa, Kevin Connolly, Jean-Charles Korta A Column-based Processing Array for High-speed Digital Image Processing. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Spencer M. Gold, Richard B. Brown, Bruce Bernhardt A Quantitative Approach to Nonlinear Process Design Rule Scaling. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Abderrahim Doumar, Hideo Ito An Automatic Testing and Diagnosis for FPGAs. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Christian Legl, Bernd Wurth, Klaus Eckl Computing support-minimal subfunctions during functional decomposition. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Jesús Corbal, Roger Espasa, Mateo Valero Command Vector Memory Systems: High Performance at Low Cost. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF memory systems, vector processors, SDRAM
16Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of SRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Efficient utilization of scratch-pad memory in embedded processor applications. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tzi-cker Chiueh, Srinidhi Varadarajan Design and Evaluation of a DRAM-based Shared Memory ATM Switch. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16John Poulton An Embedded DRAM for CMOS ASICs. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16George Kornaros, Christoforos E. Kozyrakis, Panagiota Vatsolaki, Manolis Katevenis Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF single-chip ATM switch, VLSI router, pipelined queue management, credit-based flow control
16Richard Fromm, Stylianos Perissakis, Neal Cardwell, Christoforos E. Kozyrakis, Bruce McGaughy, David A. Patterson 0001, Thomas E. Anderson, Katherine A. Yelick The Energy Efficiency of IRAM Architectures. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16J. Will Specks, Walter L. Engl Computer-aided design and scaling of deep submicron CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Ad J. van de Goor Using March Tests to Test SRAMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Shyang-Tai Su, Rafic Z. Makki Testing of static random access memories by monitoring dynamic power supply current. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Current-testable design, dynamic current monitors, dynamic power supply current, pattern sensitivity, fault modeling
15Heeyeol Yu, Rabi N. Mahapatra A Power and Throughput-Efficient Packet Classifier with n Bloom Filters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF multiport memory, Bloom filter, SRAM, Packet processing
15Fei Wu 0005, Xiang Chen, Jiguang Wan Cache Blocks: An Efficient Scheme for Solid State Drives without DRAM Cache. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dirty Data, Random write, Power concumption, Comsumer electronics, SRAM, DRAM, Cache Blocks
15Hassan Bajwa, Isaac G. Macwan, Vignesh Veerapandian, Xinghao Chen 0005 VHDL Implementation of High-Performance and Dynamically Configures Multi-port Cache Memory. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dynamically configured memory, Multi-port Cache Architecture, VHDL, SRAM
15Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Statistical leakage modeling for accurate yield analysis: the CDF matching method and its alternatives. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sram
15Sanjeev K. Jain, Krishna Srivastva, Sanjiv Kainth A Novel Circuit to Optimize Access Time and Decoding Schemes in Memories. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Memory SRAM Register FIle ROM analog circuit
15Weirong Jiang, Viktor K. Prasanna Large-scale wire-speed packet classification on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, decision tree, pipeline, sram, packet classification
15Aarti Choudhary, Sandip Kundu A process variation tolerant self-compensating FinFET based sense amplifier design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sense amplifier, robustness, process -variation, yield, sram, finfet
15Kaushik Roy 0001, Jaydeep P. Kulkarni, Sumeet Kumar Gupta Device/circuit interactions at 22nm technology node. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22 nm technology node, DG MOSFETs, scaling, SRAM, transistor sizing, FinFETs
15Annie (Yujuan) Zeng, James (JianQiang) Lü, Kenneth Rose, Ronald J. Gutmann First-Order Performance Prediction of Cache Memory with Wafer-Level3D Integration. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wafer-level 3D integration, SRAM, DRAM, cache performance, Access time, cycle time
15Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy 0001 Modeling and analysis of total leakage currents in nanoscale double gate devices and circuits. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF double-gate devices, quantum effect, stacking effect, estimation, SRAM, gate leakage, subthreshold leakage
15Chanik Park, Junghee Lim, Kiwon Kwon, Jaejin Lee, Sang Lyul Min Compiler-assisted demand paging for embedded systems with flash memory. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF post-pass optimization, clustering, embedded systems, compilers, flash memory, paging, SRAM, heterogeneous memory
15Lawrence T. Clark, Rakesh Patel, Timothy S. Beatty Managing standby and active mode leakage power in deep sub-micron design. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SRAM leakage control, TGSRAM, battery lifetime, drowsy mode, thick gate shadow latch, transistor leakage, MTCMOS
15Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg Data and memory optimization techniques for embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF data optimization, memory architecture customization, memory power dissipation, high-level synthesis, survey, SRAM, allocation, data cache, DRAM, register file, architecture exploration, code transformation, address generation, size estimation
15Pradeep Nagaraj, Shambhu Upadhaya, Kamran Zarrineh, R. Dean Adams Defect Analysis and a New Fault Model for Multi-port SRAMs. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual-port, SDDRF, electrical fault model, SRAM, defect analysis, multi-port
15Said Hamdioui, Ad J. van de Goor An experimental analysis of spot defects in SRAMs: realistic fault models and tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF integrated circuit testing, fault models, fault coverage, SRAMs, functional fault models, SRAM chips, spot defects
15John Woodfill, Brian Von Herzen Real-time stereo vision on the PARTS reconfigurable computer. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF powerful scalable reconfigurable computer, PARTS engine, real-time stereo vision, Xilinx 4025 FPGAs, partial torus, concurrent SRAM access, standard PCI card, stereo vision algorithm, stereo disparity computation, RISC-equivalent operations, 1 Mbyte, images, SRAMs, stereo image processing, personal computer, workstation, memory access
11Yumito Aoyagi, Koji Nii, Makoto Yabuuchi, Tomotaka Tanaka, Yuichiro Ishii, Yoshiaki Osada, Takaaki Nakazato, Isabel Wang, Yu-Hao Hsu, Hong-Chen Cheng, Hung-Jen Liao, Tsung-Yung Jonathan Chang A 3-nm FinFET 27.6-Mbit/mm2 Single-Port 6T SRAM Enabling 0.48-1.2 V Wide Operating Range With Far-End Pre-Charge and Weak-Bit Tracking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ashwin Sanjay Lele, Muya Chang, Samuel D. Spetalnick, Brian Crafton, Shota Konno, Zishen Wan, Ashwin Bhat, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury A Heterogeneous RRAM In-Memory and SRAM Near-Memory SoC for Fused Frame and Event-Based Target Identification and Tracking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3880 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license