|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 14306 occurrences of 4820 keywords
|
|
|
Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Motonori Doi, Rie Ohtsuki, Rie Hikima, Osamu Tanno, Shoji Tominaga |
Synthesis of Facial Images with Foundation Make-Up. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCIW ![In: Computational Color Imaging, Second International Workshop, CCIW 2009, Saint-Etienne, France, March 26-27, 2009. Revised Selected Papers, pp. 188-197, 2009, Springer, 978-3-642-03264-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Facial image synthesis, Make-up foundation, Kubelka-Munk theory, Texture synthesis, Color image, Multi-resolution analysis |
23 | Thomas Hurtut, Pierre-Edouard Landes, Joëlle Thollot, Yann Gousseau, R. Drouillhet, Jean-François Coeurjolly |
Appearance-guided synthesis of element arrangements by example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPAR ![In: 7th International Symposium on Non-Photorealistic Animation and Rendering, NPAR 2009, New Orleans, LA, USA, August 1-2, 2009, Proceedings, pp. 51-60, 2009, ACM, 978-1-60558-604-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
by-example synthesis, vector texture synthesis, NPR |
23 | Paul Tarau, Brenda Luderman |
Revisiting exact combinational circuit synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 1758-1759, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
exact combinational circuit synthesis, logic programming and circuit design, minimal transistor-count circuit synthesis |
23 | Mohammad Hosseinabady, Pejman Lotfi-Kamran, Zainalabedin Navabi |
Low test application time resource binding for behavioral synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(2), pp. 16, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
CDFG, high-level synthesis, Testability, test synthesis |
23 | Hansu Cho, Samar Abdi, Daniel Gajski |
Interface synthesis for heterogeneous multi-core systems from transaction level models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 140-142, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
HW-SW co-design, universal bridge, channel, transaction level model, communication synthesis, interface synthesis |
23 | Rupal Patel, Michael Everett, Eldar Sadikov |
Loudmouth: : modifying text-to-speech synthesis in noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 8th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2006, Portland, Oregon, USA, October 23-25, 2006, pp. 227-228, 2006, ACM, 1-59593-290-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
text-to-speech synthesis (TTS), speech synthesis, augmentative and alternative communication (AAC) |
23 | Ranga Vemuri, Srinivas Katkoori, Meenakshi Kaul, Jay Roy |
An efficient register optimization algorithm for high-level synthesis from hierarchical behavioral specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 7(1), pp. 189-216, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
lifecycle analysis, register optimization, high-level synthesis, hardware description languages, Behavioral synthesis, hierarchical specifications |
23 | Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara |
Strong self-testability for data paths high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 229-234, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment |
23 | Heinz Mayer |
Image-Based Texture Analysis for Realistic Image Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIBGRAPI ![In: 13th Brazilian Symposium on Computer Graphics and Image Processing (SIBGRAPI 2000), 17-20 October 2000, Gramado (RS), Brazil, pp. 219-226, 2000, IEEE Computer Society, 0-7695-0878-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
image based texture analysis, digital image synthesis, intensity values, highlighting artifacts, image based measurement system, BRDF values, diffuse reflectance coefficient, compact description, measured surface properties, arbitrary shape, standard CCD camera, image texture, reflectance model, realistic image synthesis, light source, measurement system, surface reflectance, bidirectional reflectance distribution function |
23 | Jon Christensen, Joe Marks, J. Thomas Ngo |
Automatic motion synthesis for 3D mass-spring models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 13(1), pp. 20-28, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Animation, Stochastic optimization, Heuristic methods, Motion synthesis, Mass-spring models, Controller synthesis |
23 | Harry Hsieh, Alberto L. Sangiovanni-Vincentelli |
Modeling micro-controller peripherals for high-level co-simulation and synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES ![In: Proceedings of the Fifth International Workshop on Hardware/Software Codesign, CODES/CASHE 1997, March 24-26, 1997, Braunschweig, Germany, pp. 127-130, 1997, IEEE Computer Society, 0-8186-7895-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
micro-controllers, FSM synthesis, co-simulation, peripherals, co-synthesis |
23 | Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau |
Exploiting off-chip memory access modes in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 333-340, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
High Level Synthesis, DRAM, Memory Synthesis |
23 | Christos A. Papachristou, Mikhail Baklashov |
A test synthesis technique using redundant register transfers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 414-420, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions |
23 | Mostafa I. H. Abd-El-Barr, Muhammad Nayyar Hasan, G. A. Hamid |
On the Synthesis of MVL Functions Using Input and Output Phase Assignments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 27th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1997, Antigonish, Nova Scotia, Canada, May 28-30, 1997, Proceedings, pp. 253-258, 1997, IEEE Computer Society, 0-8186-7910-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
MVL functions synthesis, phase assignments, decomposition based mapping, input matrix, output matrix, matching-count matrix, output function number, maximum matching count, switching operations, switching operators, r-valued functions, logic synthesis, minimization, multivalued logic |
23 | Pierre Flener, Kung-Kiu Lau, Mario Ornaghi |
Correct-Schema-Guided Synthesis of Steadfast Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 1997 International Conference on Automated Software Engineering, ASE 1997, Lake Tahoe, CA, USA, November 2-5, 1997, pp. 153-, 1997, IEEE Computer Society, 0-8186-7961-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
steadfast programs, semi-automated software development, schema correctness, structured program design principles, hierarchical program synthesis, syntactic representation, higher-order expressions, informal knowledge capture, program schema formalisation, open first-order logical theory, open logic program, logic programming, program synthesis, domain knowledge |
23 | Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara |
An Approach To The Synthesis Of Synchronizable Finite State Machines With Partial Scan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 130-135, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
synchronizable finite state machines, sequential circuits synthesis, extended synchronizing sequence, scan inputs, normal inputs, MCNC'91 benchmark FSM, minimum-length extended synchronizing sequence, test generation, finite state machines, DFT, heuristic algorithm, minimization, partial scan, synthesis for testability, state assignment, state transition, state encoding |
23 | Harry Hengster, Rolf Drechsler, Bernd Becker 0001, Stefan Eckrich, Tonja Pfeiffer |
AND/EXOR based Synthesis of Testable KFDD-Circuits with Small Depth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 148-, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
EXOR based synthesis, synthesis for testability, delay optimization |
23 | Aloysius K. Mok, Duu-Chung Tsou, Ruud C. M. de Rooij |
The MSP.RTL real-time scheduler synthesis tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 17th IEEE Real-Time Systems Symposium (RTSS '96), December 4-6, 1996, Washington, DC, USA, pp. 118-128, 1996, IEEE Computer Society, 0-8186-7689-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
MSP RTL real time scheduler synthesis tool, scheduler synthesis algorithm, real time scheduling problem, temporal constraint satisfaction problem, temporal constraint graph, input timing specification, incremental positive cycle detection algorithm, real time scheduling theory, Boeing 777 Integrated Airplane Information Management System, AIMS, constraint satisfaction, processor scheduling, timing constraints, resource constraints, application domains, search strategies, cyclic schedules, feasible schedule, timing semantics, real time logic |
23 | Reiner W. Hartenstein, Jürgen Becker 0001, Michael Herz, Rainer Kress 0002, Ulrich Nageldinger |
A Synthesis System For Bus-Based Wavefront Array Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 274-283, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
synthesis system, bus-based wavefront array architectures, datapath synthesis system, reconfigurable datapath architecture, internal data bus, automatic mapping, datapath units, high speed datapaths, parallel architectures, rapid prototyping, reconfigurable architectures, software prototyping, fine grained parallelism, data manipulations |
23 | Alan Su 0002, Ta-Yung Liu, Yu-Chin Hsu, Mike Tien-Chien Lee |
Eliminating False Loops Caused by Sharing in Control Path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 39-44, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
false loops, control path sharing, timing validation, design sign-off phase, data path sharing, control logic sharing, removal algorithm, computation complexity, high level synthesis, high level synthesis, filter, resource sharing, logic minimization |
23 | Juan Manuel Ramírez-Cortés, Guillermo Bolanos, David Báez-López |
A Low-Cost Speech-Synthesis System for Translation of ASCII Text to Oral Language as a Vision Impaired Aid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: Eighth Annual IEEE Symposium on Computer-Based Medical Systems (CBMS'95), June 9-10, 1995, Lubbock, Texas, USA, pp. 321-324, 1995, IEEE Computer Society, 0-8186-7117-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
add-on boards, low-cost speech-synthesis system, ASCII text to oral language translation, vision impaired aid, syllables database, PC expansion slot, signal manipulation, speech acquisition, natural languages, extension, speech synthesis, language translation, microcomputer applications, Spanish language, handicapped aids |
23 | Franco Fummi, Donatella Sciuto, M. Serro |
Synthesis for testability of large complexity controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 180-185, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
large complexity controllers, industrial design environments, top-down methodology, hierarchical descriptions, irredundant circuits, optimized gate-level descriptions, testable descriptions, specification, high level synthesis, finite state machines, finite state machines, design for testability, design for testability, VHDL, automatic testing, logic CAD, hardware description languages, FSM, synthesis for testability, logic gates |
23 | Alok Kumar, Anshul Kumar, M. Balakrishnan |
Heuristic search based approach to scheduling, allocation and binding in Data Path Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 75-80, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
heuristic search based approach, VITAL, partial binding sub-tasks, design styles, component types, scheduling, scheduling, computational complexity, VLSI, high level synthesis, search problems, cost estimates, allocation, computation time, binding, design constraints, solution quality, data path synthesis, benchmark designs |
23 | Ramayya Kumar, Thomas Kropf, Klaus Schneider 0001 |
Formal synthesis of circuits with a simple handshake protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 255-259, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
formal circuit synthesis, preproven building blocks, higher-order temporal operators, parametrized data signals, sequentially composed modules, parallel module composition, protocols, high level synthesis, logic design, operator semantics, template, formal logic, correctness proofs, synchronous circuits, handshake protocol, HOL theorem prover |
23 | Vishwani D. Agrawal, Kwang-Ting Cheng |
Finite state machine synthesis with embedded test function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 1(3), pp. 221-228, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
VLSI, Computer-Aided Design, Test Generation, Logic Synthesis, Synthesis for Testability |
23 | Jiyu Zhang, Zhiru Zhang, Sheng Zhou, Mingxing Tan, Xianhua Liu 0001, Xu Cheng 0001, Jason Cong |
Bit-level optimization for high-level synthesis and FPGA-based acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 59-68, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
bit-level optimization, fpga, high-level synthesis |
23 | Antoine Girard |
Synthesis using approximately bisimilar abstractions: state-feedback controllers for safety specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Proceedings of the 13th ACM International Conference on Hybrid Systems: Computation and Control, HSCC 2010, Stockholm, Sweden, April 12-15, 2010, pp. 111-120, 2010, ACM, 978-1-60558-955-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
approximate bisimulation, abstraction, synthesis, safety, hybrid systems, switched systems |
23 | Cliff C. N. Sze |
ISPD 2010 high performance clock network synthesis contest: benchmark suite and results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 143, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
VLSI, benchmarks, physical design, clock network synthesis |
23 | Karin Avnit, Vijay D'Silva, Arcot Sowmya, S. Ramesh, Sri Parameswaran |
Provably correct on-chip communication: A formal approach to automatic protocol converter synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(2), pp. 19:1-19:41, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
converter synthesis, protocol compatibility, System-on-chip, automatic design |
23 | Ehsan Miandji, M. H. Sargazi Moghadam, Faramarz F. Samavati, Mohammad Emadi |
Real-time multi-band synthesis of ocean water with new iterative up-sampling technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 25(5-7), pp. 697-705, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Wave synthesis, Image up-sampling, Real-time rendering, Programmable graphics hardware |
23 | Cliff N. Sze, Phillip J. Restle, Gi-Joon Nam, Charles J. Alpert |
Ispd2009 clock network synthesis contest. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 149-150, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
benchmarks, physical design, vlsi, clock network synthesis |
23 | Yi Yang 0001, Yueting Zhuang, Dong Xu 0001, Yunhe Pan, Dacheng Tao, Stephen J. Maybank |
Retrieval based interactive cartoon synthesis via unsupervised bi-distance metric learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 17th International Conference on Multimedia 2009, Vancouver, British Columbia, Canada, October 19-24, 2009, pp. 311-320, 2009, ACM, 978-1-60558-608-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cartoon clip synthesis, cartoon image, image retrieval |
23 | Jing Fan, Jian-wei Ren, Ying Tang 0004 |
Controllable texture synthesis for runtime simulation of large-scale vegetation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCAI ![In: Proceedings of the 8th International Conference on Virtual Reality Continuum and its Applications in Industry, VRCAI 2009, Yokohama, Japan, December 14-15, 2009, pp. 285-288, 2009, ACM, 978-1-60558-912-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
vegetation simulation, GPU, texture synthesis |
23 | Robert Wille, Rolf Drechsler |
BDD-based synthesis of reversible logic for large functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 270-275, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
synthesis, decision diagrams, reversible logic, quantum logic |
23 | Anna Bernasconi 0001, Valentina Ciriani, Fabrizio Luccio, Linda Pagli |
Synthesis of Autosymmetric Functions in a New Three-Level Form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 42(4), pp. 450-464, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Autosymmetry, EXOR factor, SOP form, ORAX form, Three-level synthesis, Logical design |
23 | Fei Su, Krishnendu Chakrabarty |
High-level synthesis of digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 3(4), pp. 1:1-1:32, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scheduling, system-on-chip, High-level synthesis, microfluidics, biochips |
23 | Henricus M. W. (Eric) Verbeek, A. Johannes Pretorius, Wil M. P. van der Aalst, Jarke J. van Wijk |
Assessing State Spaces Using Petri-Net Synthesis and Attribute-Based Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Petri Nets Other Model. Concurr. ![In: Transactions on Petri Nets and Other Models of Concurrency I, pp. 152-171, 2008, Springer, 978-3-540-89286-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Petri-net synthesis, visualization, attributes, state spaces |
23 | Daniel G. Aliaga, Carlos A. Vanegas, Bedrich Benes |
Interactive example-based urban layout synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(5), pp. 160, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
content-aware image editing, texture and image synthesis, procedural modeling, example-based |
23 | Philippe Darondeau, Maciej Koutny, Marta Pietkiewicz-Koutny, Alexandre Yakovlev |
Synthesis of Nets with Step Firing Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Petri Nets ![In: Applications and Theory of Petri Nets, 29th International Conference, PETRI NETS 2008, Xi'an, China, June 23-27, 2008. Proceedings, pp. 112-131, 2008, Springer, 978-3-540-68745-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
step firing policy, step transition system, synthesis problem, Petri nets, regions |
23 | Farhad Arbab, Sun Meng |
Synthesis of Connectors from Scenario-Based Interaction Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBSE ![In: Component-Based Software Engineering, 11th International Symposium, CBSE 2008, Karlsruhe, Germany, October 14-17, 2008. Proceedings, pp. 114-129, 2008, Springer, 978-3-540-87890-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Scenario-based Specification, UML, Synthesis, Connector, Reo, Constraint Automata |
23 | Irith Pomeranz, Sudhakar M. Reddy |
Synthesis for Broadside Testability of Transition Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA, pp. 221-226, 2008, IEEE Computer Society, 978-0-7695-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
broadside tests, standard scan, transition faults, test synthesis, full-scan circuits |
23 | Bernd J. Kröger, Peter Birkholz |
Articulatory Synthesis of Speech and Singing: State of the Art and Suggestions for Future Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COST 2102 School (Vietri) ![In: Multimodal Signals: Cognitive and Algorithmic Issues, COST Action 2102 and euCognition International School Vietri sul Mare, Italy, April 21-26, 2008, Revised Selected and Invited Papers, pp. 306-319, 2008, Springer, 978-3-642-00524-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
singing, articulatory synthesis, vocal tract acoustics, movement control, speech acquisition, speech, articulation, human-human interaction |
23 | Hugo Flordal, Robi Malik, Martin Fabian, Knut Åkesson |
Compositional Synthesis of Maximally Permissive Supervisors Using Supervision Equivalence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Event Dyn. Syst. ![In: Discret. Event Dyn. Syst. 17(4), pp. 475-504, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Controllability, Synthesis, Discrete event systems, Supervisory control, Model reduction, Finite state automata, Nonblocking |
23 | Ying Li, Jiaxin L. Fu, Nancy S. Pollard |
Data-Driven Grasp Synthesis Using Shape Matching and Task-Based Pruning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(4), pp. 732-747, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Grasp synthesis, grasp quality, shape matching, hands |
23 | Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller |
Techniques for the synthesis of reversible Toffoli networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(4), pp. 42, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
reversible logic synthesis, quantum computing, circuit optimization |
23 | Xuexiang Xie, Feng Tian 0006, Hock Soon Seah |
Feature Guided Texture Synthesis (FGTS) for artistic style transfer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIMEA ![In: Proceedings of the Second International Conference on Digital Interactive Media in Entertainment and Arts, DIMEA 2007, 19-21 September 2007, Perth, Western Australia, pp. 44-49, 2007, ACM, 978-1-59593-708-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
artistic style transfer, perceptual similarity, non-photorealistic rendering, texture synthesis, texture transfer |
23 | Kai-Hui Chang, Igor L. Markov, Valeria Bertacco |
Safe Delay Optimization for Physical Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 628-633, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
safe delay optimization, SafeResynth, safe resynthesis technique, immediately-measurable delay improvement, circuit timing, route length, physical synthesis, electronic design automation, route congestion, circuit delay |
23 | Mohammad Mehdi Hassani, Reza Berangi |
Improving the COWLS algorithm for hardware software co-synthesis of wireless client-server systems using preference vectors and peak power information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CompSysTech ![In: Proceedings of the 2007 International Conference on Computer Systems and Technologies, CompSysTech 2007, Rousse, Bulgaria, June 14-15, 2007, pp. 5, 2007, ACM, 978-954-9641-50-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded systems, client server systems, wireless systems, low power consumption, hardware-software co-synthesis |
23 | Joachim Becker, Stanis Trendelenburg, Fabian Henrici, Yiannos Manoli |
Synthesis of analog filters on an evolvable hardware platform using a genetic algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2007, Proceedings, London, England, UK, July 7-11, 2007, pp. 190-197, 2007, ACM, 978-1-59593-697-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hardware realization, genetic algorithm, computer aided design, synthesis, evolvable hardware, microelectronics |
23 | Chandan Karfa, Dipankar Sarkar 0001, Chittaranjan A. Mandal, Chris Reade |
Hand-in-hand verification of high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 429-434, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FSMD model, formal verification, high-level synthesis, equivalence checking |
23 | Chien-Chung Tseng, Jenn-Jier James Lien |
Synthesis of Exaggerative Caricature with Inter and Intra Correlations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACCV (1) ![In: Computer Vision - ACCV 2007, 8th Asian Conference on Computer Vision, Tokyo, Japan, November 18-22, 2007, Proceedings, Part I, pp. 314-323, 2007, Springer, 978-3-540-76385-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Exaggerative rate, Exaggerative caricature synthesis, Non-photorealistic rendering (NPR), Eigenspace |
23 | Aiqun Cao, Ruibing Lu, Chen Li 0004, Cheng-Kok Koh |
Postlayout optimization for synthesis of Domino circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(4), pp. 797-821, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
optimization, synthesis, Domino logic |
23 | Welson Sun, Michael J. Wirthlin, Stephen Neuendorffer |
Combining module selection and resource sharing for efficient FPGA pipeline synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, FPGA 2006, Monterey, California, USA, February 22-24, 2006, pp. 179-188, 2006, ACM, 1-59593-292-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
resource sharing, pipeline scheduling, module selection, data-path synthesis |
23 | Kevin Fan, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke |
Increasing hardware efficiency with multifunction loop accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006, pp. 276-281, 2006, ACM, 1-59593-370-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multifunction design, high-level synthesis, modulo scheduling, loop accelerator, application-specific hardware |
23 | Ai-Hsin Liu, Robert P. Dick |
Automatic run-time extraction of communication graphs from multithreaded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006, pp. 46-51, 2006, ACM, 1-59593-370-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
communication, benchmarks, synthesis, multithread, task graph, run-time |
23 | Feng Dong 0005, Gordon Clapworthy |
Volumetric texture synthesis for non-photorealistic volume rendering of medical data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 21(7), pp. 463-473, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Volume rendering, Non-photorealistic rendering, Texture synthesis, Medical visualization |
23 | Vivek Kwatra, Irfan A. Essa, Aaron F. Bobick, Nipun Kwatra |
Texture optimization for example-based synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 24(3), pp. 795-802, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
texture animation, image-based rendering, texture synthesis, flow visualization, energy minimization |
23 | Chia-Jui Hsu, Shuvra S. Bhattacharyya |
Software Synthesis from the Dataflow Interchange Format. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Proceedings of the 9th International Workshop on Software and Compilers for Embedded Systems, Dallas, Texas, USA, September 29 - October 1, 2005, pp. 37-49, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DIF, dataflow interchange format, software synthesis |
23 | Duarte Lopes de Oliveira, Marius Strum, Jiang Chau Wang |
Miriã_SI: a tool for the synthesis of speed-independent multi burst-mode controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 56-61, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
burst-mode, automatic synthesis, hazard, asynchronous logic, speed-independent |
23 | Cheng-Yuan Lin, Tzu-Ying Lin, Jyh-Shing Roger Jang |
A corpus-based singing voice synthesis system for mandarin Chinese. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 13th ACM International Conference on Multimedia, Singapore, November 6-11, 2005, pp. 359-362, 2005, ACM, 1-59593-044-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
corpus design, singing voice synthesis, dynamic programming |
23 | Anuradha Agarwal, Glenn Wolfe, Ranga Vemuri |
Accuracy driven performance macromodeling of feasible regions during synthesis of analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 482-487, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance modeling, circuit sizing, analog synthesis |
23 | Bin Wang 0021, Wenping Wang, Huaiping Yang, Jia-Guang Sun 0001 |
Efficient Example-Based Painting and Synthesis of 2D Directional Texture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 10(3), pp. 266-277, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
example-based painting, painting style, artistic filter, Gaussian pyramid, directional texture, simulation, image segmentation, texture synthesis, nonphotorealistic rendering, painting systems, Digital painting |
23 | Chang-Hsing Wu, Yueh-Yi Lai, Wen-Kai Tai |
A hybrid-based texture synthesis approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 20(2-3), pp. 106-129, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Patch-based sampling, Image processing, Texture synthesis |
23 | Andrew Nealen, Marc Alexa |
Fast and High Quality Overlap Repair for Patch-Based Texture Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Graphics International ![In: 2004 Computer Graphics International (CGI 2004), 16-19 June 2004, Crete, Greece, pp. 582-585, 2004, IEEE Computer Society, 0-7695-2171-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Patch-based Texture Synthesis, k-coherence search, Principal Component Analysis |
23 | Jiali Cui, Yunhong Wang, Junzhou Huang, Tieniu Tan, Zhenan Sun |
An Iris Image Synthesis Method Based on PCA and Super-Resolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (4) ![In: 17th International Conference on Pattern Recognition, ICPR 2004, Cambridge, UK, August 23-26, 2004., pp. 471-474, 2004, IEEE Computer Society, 0-7695-2128-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
iris image synthesis, PCA, Biometrics, super-resolution, iris recognition |
23 | Raimundo S. Barreto, Marília Neves, Meuse N. Oliveira Jr., Paulo Romero Martins Maciel, Eduardo Tavares, Ricardo Massa Ferreira Lima |
A formal software synthesis approach for embedded hard real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2004, Pernambuco, Brazil, September 7-11, 2004, pp. 163-168, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded hard real-time systems, hardware/software codesign methodologies, software synthesis |
23 | Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen |
Sensitivity guided net weighting for placement driven synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 124-131, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight |
23 | Haoxing Ren, David Zhigang Pan, David S. Kung 0001 |
Sensitivity guided net weighting for placement driven synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 10-17, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight |
23 | Renqiu Huang, Ranga Vemuri |
Forward-Looking Macro Generation and Relational Placement During High Level Synthesis to FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
critical net, performance, placement, Behavioral synthesis, macro |
23 | Sebastián Uchitel, Robert Chatley, Jeff Kramer, Jeff Magee |
System architecture: the context for scenario-based model synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 12th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2004, Newport Beach, CA, USA, October 31 - November 6, 2004, pp. 33-42, 2004, ACM, 1-58113-855-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
architecture, synthesis, generalisation, MSCs |
23 | Hemangee K. Kapoor, Mark B. Josephs |
Decomposing specifications with concurrent outputs to resolve state coding conflicts in asynchronous logic synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 830-833, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
asynchronous logic synthesis, delay-insensitive decomposition |
23 | Raúl Monroy |
Predicate Synthesis for Correcting Faulty Conjectures: The Proof Planning Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. Softw. Eng. ![In: Autom. Softw. Eng. 10(3), pp. 247-269, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
faulty specifications, program synthesis/transformation, abduction, proof planning |
23 | Michael A. Riepe, Karem A. Sakallah |
Transistor placement for noncomplementary digital VLSI cell synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 8(1), pp. 81-107, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Cell Synthesis, Euler graphs, noncomplementary circuits, sequence pair optimization, transistor chaining, transistor placement, digital circuits, benchmark circuits |
23 | Viktor K. Sabelfeld, Kai Kapp |
Numeric Types in Formal Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ershov Memorial Conference ![In: Perspectives of Systems Informatics, 5th International Andrei Ershov Memorial Conference, PSI 2003, Akademgorodok, Novosibirsk, Russia, July 9-12, 2003, Revised Papers, pp. 79-90, 2003, Springer, 3-540-20813-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
correct hardware synthesis, formal specification, higher-order logic, theorem prover, arithmetic operations |
23 | Marc Boyer, Mihaela Sighireanu |
Synthesis and Verification of Constraints in the PGM Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FME ![In: FME 2003: Formal Methods, International Symposium of Formal Methods Europe, Pisa, Italy, September 8-14, 2003, Proceedings, pp. 264-281, 2003, Springer, 3-540-40828-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
PGM protocol, real-time multicast protocol, finite and timed model-checking, parameterized verification, constraint synthesis |
23 | Éric Badouel, Benoît Caillaud, Philippe Darondeau |
Distributing Finite Automata Through Petri Net Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 13(6), pp. 447-470, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
General Petri nets, Distribution, Synthesis, Finite automata, Regions |
23 | Ashley Gadd, Sidney S. Fels |
MetaMuse: a novel control metaphor for granular synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended abstracts of the 2002 Conference on Human Factors in Computing Systems, CHI 2002, Minneapolis, Minnesota, USA, April 20-25, 2002, pp. 636-637, 2002, ACM, 1-58113-454-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
prop-based interface, metaphors, computer music, granular synthesis |
23 | Ruchir Puri, David S. Kung 0001, Anthony D. Drumm |
Fast and accurate wire delay estimation for physical synthesis of large ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, New York, NY, USA, April 18-19, 2002, pp. 30-36, 2002, ACM, 1-58113-462-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
placement driven synthesis, wire delay, estimation, integrated circuit design |
23 | Geun Rae Cho, Tom Chen 0001 |
Mixed PTL/Static Logic Synthesis Using Genetic Algorithms for Low-Power Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 458-463, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Mixed PTL/Static, Lower-Power Technology Mapping, Logic Synthesis, Pass Transistor Logic |
23 | Haifeng Zhou, Zhenghui Lin, Wei Cao |
Research on VHDL RTL Synthesis System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 1st IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2002), 29-31 January 2002, Christchurch, New Zealand, pp. 99-103, 2002, IEEE Computer Society, 0-7695-1453-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
VHDL RTL synthesis, ambiguous grammar, language level optimization, inference, formal semantics, parser |
23 | J. Ramanujam, Sandeep Deshpande, Jinpyo Hong, Mahmut T. Kandemir |
A Heuristic for Clock Selection in High-Level Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 414-419, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
clock selection, heuristics, high-level synthesis, design space exploration, graph structure |
23 | Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau, Timothy Kam, Michael Kishinevsky, Shai Rotem |
Coordinated transformations for high-level synthesis of high performance microprocessor blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 898-903, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
high-level synthesis, microprocessor design |
23 | Trandafir Moisa, Dan Ontanu, Adrian-Horia Dediu |
Speech Synthesis Using Neural Networks Trained by an Evolutionary Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part II, pp. 419-428, 2001, Springer, 3-540-42233-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Supervised Training, Neural Networks, Evolutionary Algorithms, Speech Synthesis |
23 | Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha |
Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(9), pp. 865-885, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
concurrent error detection, Behavioral synthesis, fault security, fault-tolerant microarchitectures |
23 | Vincenza Carchiolo, Michele Malgeri, Giuseppe Mangioni |
Hardware/software synthesis of formal specifications in codesign of embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 5(3), pp. 399-432, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
hardware and software synthesis, embedded system, codesign |
23 | Andrew Stone, Elias S. Manolakos |
Minimal Complexity Hierarchical Loop Representations of SFG Processors for Optimal High Level Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 12th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2000), 10-12 July 2000, Boston, MA, USA, pp. 92-102, 2000, IEEE Computer Society, 0-7695-0716-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Optimal VHDL, DG2VHDL, Hierarchical CDFG, High Level Synthesis, Dependence Graph, Signal Flow Graph, Design Complexity |
23 | Tammy Riklin-Raviv, Amnon Shashua |
The Quotient Image: Class Based Recognition and Synthesis under Varying Illumination Conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 1999 Conference on Computer Vision and Pattern Recognition (CVPR '99), 23-25 June 1999, Ft. Collins, CO, USA, pp. 2566-, 1999, IEEE Computer Society, 0-7695-0149-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Class-Based, Recognition, Illumination, Image-Synthesis |
23 | Frank F. Hsu, Janak H. Patel |
High-Level Controllability and Observability Analysis for Test Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 13(2), pp. 93-103, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
controllability, observability, high-level test synthesis, behavioral modification |
23 | Frank Vahid, Thuy Dm Le, Yu-Chin Hsu |
Functional partitioning improvements over structural partitioning for packaging constraints and synthesis: tool performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(2), pp. 181-208, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
system-level design, behavioral synthesis, functional partitioning |
23 | Unni Narayanan, C. L. Liu 0001 |
Low power logic synthesis for XOR based circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 570-574, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
vlsi cad logic synthesis, XOR logic, Fixed Polarity Reed Muller Forms, Huffman Algorithm, low power design |
23 | Darko Kirovski, Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith |
Application-driven synthesis of core-based systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 104-107, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
application-specific system-level synthesis, system modeling and performance evaluation, cache line coloring |
23 | Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli |
Trace driven logic synthesis - application to power minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 581-588, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Low Power, Logic Synthesis |
23 | X. Wendling, H. Chauvet, Lionel Revéret, Raphaël Rochet, Régis Leveugle |
Automatic and Optimized Synthesis of Dataparts with Fault Detection or Tolerance Capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 195-203, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
RTL synthesis, dependable VLSI circuits, fault tolerance, fault detection, CAD tools |
23 | Jason Cong, Yuzheng Ding |
Combinational logic synthesis for LUT based field programmable gate arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 1(2), pp. 145-204, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
FPGA, routing, synthesis, system design, decomposition, technology mapping, simplification, programmable logic, power minimization, logic optimization, delay modeling, delay minimization, computer-aided design of VLSI, area minimization |
23 | David R. Smith |
Hardware Synthesis From Encapsulated Verilog Modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 284-, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
encapsulated Verilog modules, Verilog writing style, code complexity, automatic inference of control, low level simulation, computational complexity, logic design, inference mechanisms, hardware description languages, hardware synthesis, control points, clock cycle |
23 | Stephen Docy, Inki Hong, Miodrag Potkonjak |
Throughput Optimization in Disk-Based Real-Time Application Specific Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 133-138, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Massive Storage, Hard Real-Time, System-Level Synthesis |
23 | Mark D. Aagaard, Miriam Leeser |
Verifying a Logic-Synthesis Algorithm and Implementation: A Case Study in Software Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 21(10), pp. 822-833, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
weak division, theorem proving, logic synthesis, Software verification, hardware verification |
23 | Pai H. Chou, Ross B. Ortega, Gaetano Borriello |
Interface co-synthesis techniques for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 280-287, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
design space exploration tools, glue logic, real-time systems, embedded systems, CAD, synthesis, computer interfaces, hardware/software interfaces, design cycle |
23 | Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng |
Automatic synthesis of gate-level timed circuits with choice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 16th Conference on Advanced Research in VLSI (ARVLSI '95), March 27-29, 1995, Chapel Hill, North Carolina, USA, pp. 42-58, 1995, IEEE Computer Society, 0-8186-7047-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
gate-level timed circuits, C-elements, explicit timing information, textual specification, conditional operation, reachable state space, semi-custom components, timing, logic CAD, asynchronous circuits, asynchronous circuits, circuit CAD, cellular arrays, circuit complexity, logic arrays, graphical representation, standard-cells, CAD tool, automatic synthesis, gate-arrays, state-space methods, AND gates, OR gates |
23 | Enric Pastor, Jordi Cortadella, Oriol Roig |
A new look at the conditions for the synthesis of speed-independent circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 230-, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
gate-level synthesis, gate library constraint, fan-in reduction, VLSI, logic design, logic CAD, integrated circuit design, circuit CAD, integrated logic circuits, circuit optimisation, optimization techniques, speed-independent circuits |
Displaying result #401 - #500 of 45278 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|