The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Erik Chmelar, M. Grinchuk, Arun Gunda Scan Test Cost and Power Reduction Through Systematic Scan Reconfiguration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Li-Chung Hsu, Hung-Ming Chen On Optimizing Scan Testing Power and Routing Cost in Scan Chain Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shalini Ghosh, Sugato Basu, Nur A. Touba Joint Minimization of Power and Area in Scan Testing by Scan Cell Reordering. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Kenneth P. Parker Defect Coverage of Boundary-Scan Tests: What does it mean when a Boundary-Scan test passes? Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Xijiang Lin, Irith Pomeranz, Sudhakar M. Reddy Full Scan Fault Coverage With Partial Scan. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Lei Shi, Vandana Pursnani Janeja Anomalous Window Discovery for Linear Intersecting Paths. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF spatial scan window, linear scan statistic, anomaly detection, Spatial scan statistics
31Young-Ho Choi, Se-Young Oh Grid-Based Visual SLAM in Complex Environments. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Visual sonar, Pseudo dense scan, Trajectory correction, Scan matching
31Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
31Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
31Kaushik De Test methodology for embedded cores which protects intellectual property. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core I/Os, ASIC I/O inaccessibility, partial netlist generation, ASIC level test generation, gate testing, core scan chain, selective boundary scan, coreware design paradigm, logic testing, heuristic algorithm, structural analysis, intellectual property protection, embedded cores, test methodology
31Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
31S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault Test configurations to enhance the testability of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector
31Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
31Douglas W. Cornell, Philip S. Yu An Effective Approach to Vertical Partitioning for Physical Design of Relational Databases. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF two-step methodology, binary partitioning, sort-merge, segment scan, cluster index scan, software engineering, relational databases, relational databases, linear programming, physical design, integer linear programming, join, vertical partitioning, query analysis, disk accesses
31Janusz Rajski, Jerzy Tyszer Diagnosis of Scan Cells in BIST Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple scan chains, fault diagnosis, Built-in self-test, design for testability, test-response compaction, scan-based design
31Kuen-Jong Lee, Jih-Jeen Chen, Cheng-Hua Huang Using a single input to support multiple scan chains. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF boundary scan (IEEE 1149.1) and test compaction, test generation, design for testability, scan based design
31Rajesh Gupta 0003, Rajiv Gupta 0002, Melvin A. Breuer The BALLAST Methodology for Structured Partial Scan Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF scan path storage elements, Ballast methodology, structured partial scan design, balanced structure scant test, testability properties, combinatorial automatic test pattern generation, logic testing, sequential circuits, automatic testing, combinatorial circuits
31Zhijing G. Mou, Hai Liu 0012, Paul Hudak Compress-and-conquer for optimal multicore computing. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compress and conquer, parallel computing, functional programming, scan, divide and conquer, programming paradigm, multicore programming
31Matthew G. Stout, Kenneth P. Tumin Innovative Test Solutions for Pin-Limited Microcontrollers. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Freescale, Stout, Tumin, test, testing, DFT, scan, microcontroller, design-for-test, pins
31Terumine Hayashi, Haruna Yoshioka, Tsuyoshi Shinogi, Hidehiko Kita, Haruhiko Takase On Test Data Compression Using Selective Don't-Care Identification. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple scan structure, dont-care identification, test data compression, test cost reduction
31Daniel B. Neill, Andrew W. Moore 0001, Maheshkumar Sabhnani, Kenny Daniel Detection of emerging space-time clusters. Search on Bibsonomy KDD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cluster detection, space-time scan statistics, biosurveillance
31Andrea Frosini, Maurice Nivat Binary Matrices Under the Microscope: A Tomographical Problem. Search on Bibsonomy IWCIA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Reconstruction algorithm, Rectangular scan, Computational complexity, Projection, Discrete Tomography
31Egor S. Sogomonyan, Adit D. Singh, Michael Gössel A Multi-Mode Scannable Memory Element for High Test Application Efficiency and Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design-for-testability, BIST, scan design
31Debaditya Mukherjee, Melvin A. Breuer An IEEE 1149.1 Compliant Test Control Architecture. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test control, local test control, distributed test control, dynamic test control, built-in self-test, design-for-test, boundary scan, test bus
31Phillip Krueger, Ten-Hwang Lai, Vibha A. Dixit-Radiya ob Scheduling is More Important than Processor Allocation for Hypercube Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hypercubecomputers, scheduling, scheduling, resource allocation, hypercube, hypercube networks, job scheduling, Scan, processor allocation, performance problems
30Christian Wimmer, Michael Franz Linear scan register allocation on SSA form. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SSA form deconstruction, lifetime analysis, linear scan, Java, register allocation, just-in-time compilation, SSA form
30Maciej Nikodem Boundary Scan Security Enhancements for a Cryptographic Hardware. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IEEE 1149, side-channel attacks, countermeasures, boundary scan
30Matthew K. Feusner, Brian Lukoff Testing for statistically significant differences between groups of scan patterns. Search on Bibsonomy ETRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan pattern, similarity test, eye tracking, analysis, statistics, comparison, sequence comparison, scanpath
30Shuhui Yang, Minglu Li 0001, Jie Wu 0001 Scan-Based Movement-Assisted Sensor Deployment Methods in Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dimension exchange., Hungarian method, movement-assisted, wireless sensor networks, load balance, scan, sensor deployment
30Grzegorz Mrugalski, Janusz Rajski, Chen Wang 0014, Artur Pogiel, Jerzy Tyszer Isolation of Failing Scan Cells through Convolutional Test Response Compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF convolutional compactors, fault diagnosis, test response compaction, scan-based designs
30Ilia Polian, Hideo Fujiwara Functional Constraints vs. Test Compression in Scan-Based Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Overtesting prevention, Scan-based delay test, Test compression, Functional constraints
30Qiming Wang, Sandy Ressler Generation and manipulation of H-Anim CAESAR scan bodies. Search on Bibsonomy Web3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CAESAR, VRML, 3D scan, 3D animation, H-Anim
30Young Lim Choi, Yunja Nam, Kueng Mi Choi, Ming Hai Cui A Method for Garment Pattern Generation by Flattening 3D Body Scan Data. Search on Bibsonomy HCI (12) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D scan data, apparel pattern, grid method, silhouette, flattening
30Qian Li Practice of Video Encryption Algorithms Based on Chaotic Sequence and Scan Pattern. Search on Bibsonomy KES (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scan methodology, difference matrix, difference-compressed matrix, Video encryption, chaotic sequence
30Ilia Polian, Hideo Fujiwara Functional constraints vs. test compression in scan-based delay testing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF overtesting prevention, scan-based delay test, test compression, functional constraints
30Juha Havukumpu, Pia Vähäkangas, Eija Grönroos, Jukka Häkkinen Midwives experiences of using HMD in ultrasound scan. Search on Bibsonomy NordiCHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF patient-midwife communication, ultrasound scan, user experience, head-mounted displays
30Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Full-Scan Circuits Based on Combinational Test Sets and Nonscan Input Sequences and a Lower Bound on the Number of Tests. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Scan circuits, test application time, static test compaction
30Irith Pomeranz Scan-BIST based on transition probabilities. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built-in self-test, scan design
30Qianren Xu, Mohamed Kamel, Magdy M. A. Salama A Spatially Adaptive Filter Reducing Arc Stripe Noise for Sector Scan Medical Ultrasound Imaging. Search on Bibsonomy ICIAR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Arc stripe noise, radial noise reduction, sector scan, ultrasonic image
30Ismet Bayraktaroglu, Alex Orailoglu Concurrent Application of Compaction and Compression for Test Time and Data Volume Reduction in Scan Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Test pattern compression, test pattern compaction, on-chip decompression, deterministic decompression, scan chains
30Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos A highly regular multi-phase reseeding technique for scan-based BIST. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based schemes, built-in self-test, linear feedback shift registers, reseeding
30Mohammad H. Tehranipour, Nisar Ahmed, Mehrdad Nourani Testing SoC Interconnects for Signal Integrity Using Boundary Scan. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Integrity Loss Sensor, System-on-Chip Interconnects, Data Compression, Boundary Scan, Signal Integrity
30Hak-soo Yu, Jacob A. Abraham An Efficient 3-Bit -Scan Multiplier without Overlapping Bits, and Its 64x64 Bit Implementation. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3-bit-scan, power-delay-area tradeoffs, synchronous sequential, multiplier, partial product
30Laurence Tianruo Yang, Zebo Peng Incremental Testability Analysis for Partial Scan Selection and Design Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF incremental testability analysis, partial scan selection, design transformation, register transfer level, high-level test synthesis
30Chris Feige, Jan Ten Pierick, Clemens Wouters, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus-transfer, core reuse, test protocol, TIC, TTM, vector transfer, design-for-testability, ATPG, scan-test, AMBA
30Zulan Huang, Yizheng Ye, Zhigang Mao A New Algorithm for Retiming-Based Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF retiming, Partial scan, minimum feedback vertex set
30Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, boundary scan, Interconnect testing
30Toshinori Hosokawa, Toshihiro Hiraoka, Mitsuyasu Ohta, Michiaki Muraoka, Shigeo Kuninobu A Partial Scan Design Method Based on n-Fold Line-up Structures. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF n-fold line-up structure, dynamic test sequence compaction, flip-flop of load/hold type, fault efficiency, state justification, partial scan
30Srimat T. Chakradhar, Arun Balakrishnan, Vishwani D. Agrawal An exact algorithm for selecting partial scan flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiway search and integer linear program, partial scan, minimum feedback vertex set
30Prashant S. Parikh, Miron Abramovici Testability-based partial scan analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability cost, sensitivity analysis, partial scan
30Slawomir Pilarski, André Ivanov, Tiko Kameda On minimizing aliasing in scan-based compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, scan path, test response compaction
30Bernhard Eschermann An implicitly testable boundary scan TAP controller. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF test controller, BIST, self-test, boundary scan, synthesis for testability, controller design
30Kenneth P. Parker, Stig Oresjo A language for describing boundary scan devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF boundary scan testability, VHDL
30Dongdong Zhang, Xiaoping Qian Scanning in atomic force microscopy. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Cheng-Han Tsai, Tai-Yi Huang, Edward T.-H. Chu, Chun-Hang Wei, Yu-Che Tsai An Efficient Real-Time Disk-Scheduling Framework with Adaptive Quality Guarantee. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time disk-scheduling algorithms, Scheduling, Performance evaluation, Performance, Real-time systems, Imprecise computation, weighted round-robin
30Seongmoon Wang, Wenlong Wei An Efficient Unknown BlockingScheme for Low Control Data Volume and High Observability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Joon-Sung Yang, Nur A. Touba Enhancing Silicon Debug via Periodic Monitoring. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar Unknown blocking scheme for low control data volume and high observability. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Chia-Yi Lin, Hung-Ming Chen A selective pattern-compression scheme for power and test-data reduction. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Richard Putman, Nur A. Touba Using Multiple Expansion Ratios and Dependency Analysis to Improve Test Compression. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Man-Soon Kim, Sang-Wook Kim, Miyoung Shin Optimization of subsequence matching under time warping in time-series databases. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF time warping, time-series databases, subsequence matching
30Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar Partial Gating Optimization for Power Reduction During Test Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Lei Li 0036, Krishnendu Chakrabarty, Seiji Kajihara, Shivakumar Swaminathan Efficient Space/Time Compression to Reduce Test Data Volume and Testing Time for IP Cores. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Pedram Azad, Tilo Gockel, Rüdiger Dillmann 3D Shape Acquisition using a combined SSD and Least Squares Correlation Approach. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Least Squares Correlation, Pattern Projector, Single Shot, 3D Shape Acquisition, 3D Scanning, SSD, Structured Light
30Ramesh C. Tekumalla On Reducing Aliasing Effects and Improving Diagnosis of Logic BIST Failures. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Techniques to Reduce Data Volume and Application Time for Transition Test. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Srimat T. Chakradhar, Anand Raghunathan Bottleneck removal algorithm for dynamic compaction in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Robert B. Norwood, Edward J. McCluskey High-Level Synthesis for Orthogonal Sca. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF automatic test pattern generation, scan-based test, high-level testing
30Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Scan Attacks and Countermeasures in Presence of Scan Response Compactors. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF scan-based attack, security, testability, response compaction
30Peichen Pan, C. L. Liu 0001 Partial Scan with Preselected Scan Signals. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design for testability, retiming, partial scan, Digital testing
30Daniel P. Lopresti, George Nagy, Elisa H. Barney Smith Document analysis issues in reading optical scan ballots. Search on Bibsonomy Document Analysis Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Emili Hernández, Pere Ridao, David Ribas, Angelos Mallios Probabilistic sonar scan matching for an AUV. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Xrysovalantis Kavousianos, Emmanouil Kalligeros, Dimitris Nikolos Multilevel-Huffman Test-Data Compression for IP Cores With Multiple Scan Chains. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Antoni Burguera, Yolanda González Cid, Gabriel Oliver The likelihood field approach to sonar scan matching. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Yu Hu 0001, Xiang Fu 0007, Xiaoxin Fan, Hideo Fujiwara Localized random access scan: Towards low area and routing overhead. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Xiaojun Jiang, Nan Liu, Renyi Liu, Tianhe Yin Study and Implementation of the Methods of the Side-scan Sonar Image Processing. Search on Bibsonomy CSSE (6) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Mukesh Agrawal, Sandip Karmakar, Dhiman Saha, Debdeep Mukhopadhyay Scan Based Side Channel Attacks on Stream Ciphers and Their Counter-Measures. Search on Bibsonomy INDOCRYPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Lifeng He, Yuyan Chao, Kenji Suzuki 0001 A Linear-Time Two-Scan Labeling Algorithm. Search on Bibsonomy ICIP (5) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Antoni Burguera, Yolanda González Cid, Gabriel Oliver Probabilistic sonar filtering in scan matching localization. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Vivek Sarkar, Rajkishore Barik Extended Linear Scan: An Alternate Foundation for Global Register Allocation. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Baojun Zhang, Jiebing Wang, Xuezeng Pan Virus Scan System Based on Hardware-Acceleration. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF YUQUAN, HPM, Frox, Hpmd, Concurrency
30Gefu Xu, Adit D. Singh Delay Test Scan Flip-Flop: DFT for High Coverage Delay Testing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Xinming Liu, C. C. Shaw, M. C. Altunbas, Tianpeng Wang An alternate line erasure and readout (ALER) method for implementing slot-scan imaging technique with a flat-panel detector-initial experiences. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre A secure scan design methodology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Irith Pomeranz, Sudhakar M. Reddy Test compaction for transition faults under transparent-scan. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Marcin Gomulkiewicz, Maciej Nikodem, Tadeusz Tomczak Low-cost and Universal Secure Scan: a Design- Architecture for Crypto Chips. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Gefu Xu, Adit D. Singh Low Cost Launch-on-Shift Delay Test with Slow Scan Enable. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Jian Zhang 0076, Sei-ichiro Kamata, Yoshifumi Ueshige A Pseudo-hilbert Scan Algorithm for Arbitrarily-Sized Rectangle Region. Search on Bibsonomy IWICPAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Chao-Shen Chen, Rong-Jian Chen Image Encryption and Decryption Using SCAN Methodology. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Nabil Badereddine, Patrick Girard 0001, Arnaud Virazel, Serge Pravossoudovitch, Christian Landrault Controlling Peak Power Consumption During Scan Testing: Power-Aware DfT and Test Set Perspectives. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Varun Arora, Indranil Sengupta 0001 A Unified Approach to Partial Scan Design using Genetic Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Dong Hyun Baik, Kewal K. Saluja State-reuse Test Generation for Progressive Random Access Scan: Solution to Test Power, Application Time and Data Size. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Irith Pomeranz, Sudhakar M. Reddy Improving the stuck-at fault coverage of functional test sequences by using limited-scan operations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Il-soo Lee, Yong Min Hur, Tony Ambler The Efficient Multiple Scan Chain Architecture Reducing Power Dissipation and Test Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Nan-Cheng Lai, Sying-Jyan Wang, Yu-Hsuan Fu Low Power BIST with Smoother and Scan-Chain Reorder . Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Jiann-Chyi Rau, Ching-Hsiu Lin, Jun-Yi Chang An Efficient Low-Overhead Policy for Constructing Multiple Scan-Chains. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Ad J. van de Goor, Said Hamdioui, Zaid Al-Ars The Effectiveness of the Scan Test and Its New Variants. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license