|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 29817 occurrences of 7713 keywords
|
|
|
Results
Found 56580 publication records. Showing 56580 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
25 | Nadia Alshahwan, Yue Jia 0001, Kiran Lakhotia, Gordon Fraser 0001, David Shuler, Paolo Tonella |
AUTOMOCK: Automated Synthesis of a Mock Environment for Test Case Generation. |
Practical Software Testing: Tool Automation and Human Factors |
2010 |
DBLP BibTeX RDF |
|
25 | Amy J. Ko, Michal Young, Jamie Andrews, Brian P. Robinson, Mark Grechanik |
Computing and Diagnosing Changes in Unit Test Energy Consumption. |
Practical Software Testing: Tool Automation and Human Factors |
2010 |
DBLP BibTeX RDF |
|
25 | Oded Goldreich 0001 |
Short Locally Testable Codes and Proofs: A Survey in Two Parts. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Krzysztof Onak, Ronitt Rubinfeld |
Dynamic Approximate Vertex Cover and Maximum Matching. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Alexandr Andoni, Piotr Indyk, Krzysztof Onak, Ronitt Rubinfeld |
Sublinear Algorithms in the External Memory Model. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Noga Alon |
On Constant Time Approximation of Parameters of Bounded Degree Graphs. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Eli Ben-Sasson |
Limitation on the Rate of Families of Locally Testable Codes. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Michael E. Saks, C. Seshadhri 0001 |
Local Property Reconstruction and Monotonicity. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Oded Goldreich 0001 |
The Program of the Mini-Workshop. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Artur Czumaj, Christian Sohler |
Sublinear-time Algorithms. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Alexandr Andoni, Robert Krauthgamer, Krzysztof Onak |
Polylogarithmic Approximation for Edit Distance and the Asymmetric Query Complexity. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Sofya Raskhodnikova |
Transitive-Closure Spanners: A Survey. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Irit Dinur, Prahladh Harsha |
Composition of Low-Error 2-Query PCPs Using Decodable PCPs. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Krzysztof Onak |
Sublinear Graph Approximation Algorithms. |
Property Testing |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Alexander Schliep, Amin Shokrollahi, Nicolas Thierry-Mieg (eds.) |
Group Testing in the Life Sciences, 20.07. - 25.07.2008 |
Group Testing in the Life Sciences |
2008 |
DBLP BibTeX RDF |
|
25 | Robert M. Hierons, Jonathan P. Bowen, Mark Harman (eds.) |
Formal Methods and Testing, An Outcome of the FORTEST Network, Revised Selected Papers |
Formal Methods and Testing |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Bev Littlewood, Peter T. Popov, Lorenzo Strigini, Nick Shryane |
Modelling the Effects of Combining Diverse Software Fault Detection Techniques. |
Formal Methods and Testing |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Johannes Mayer, Robert G. Merkel (eds.) |
Proceedings of the 1st International Workshop on Random Testing, RT 2006, Portland, Maine, USA, July 20, 2006 |
Random Testing |
2006 |
DBLP BibTeX RDF |
|
25 | Ed Brinksma, Wolfgang Grieskamp, Jan Tretmans (eds.) |
Perspectives of Model-Based Testing, 5.-10. September 2004 |
Perspectives of Model-Based Testing |
2005 |
DBLP BibTeX RDF |
|
25 | Manfred Broy, Bengt Jonsson 0001, Joost-Pieter Katoen, Martin Leucker, Alexander Pretschner (eds.) |
Model-Based Testing of Reactive Systems, Advanced Lectures [The volume is the outcome of a research seminar that was held in Schloss Dagstuhl in January 2004] |
Model-Based Testing of Reactive Systems |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Levi Lucio, Marko Samer |
Technology of Test-Case Generation. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Axel Belinfante, Lars Frantzen, Christian Schallhart |
Tools for Test Case Generation. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | |
Part IV. Tools and Case Studies. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Bengt Jonsson 0001 |
Finite State Machines. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Stefan D. Bruda |
Preorder Relations. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | |
Part III. Model-Based Test Case Generation. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Sven Sandberg |
Homing and Synchronizing Sequences. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Moez Krichen |
State Identification. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Joost-Pieter Katoen |
Labelled Transition Systems. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Séverine Colin, Leonardo Mariani |
Run-Time Verification. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Therese Berg, Harald Raffelt |
Model Checking. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Mary Jean Harrold |
The Effects of Optimizing Transformations on Data-Flow Adequate Test Sets. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Joseph Robert Horgan, Saul London |
Data Flow Coverage and the C Language. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
C |
25 | Brian Marick |
The Weak Mutation Hypothesis. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Thomas J. Ostrand, Elaine J. Weyuker |
Data Flow-Based Test Adequacy Analysis for Languages with Pointers. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
C |
25 | Douglas L. Long, Lori A. Clarke |
Data Flow Analysis of Concurrent Systems that Use the Rendezvous Model of Synchronization. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Evelyn Duesterwald, Mary Lou Soffa |
Concurrency Analysis in the Presence of Procedures Using a Data-Flow Framework. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
25 | Andy Podgurski |
Reliability, Sampling, and Algorithmic Randomness. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Sriram Sankar |
Run-Time Consistency Checking of Algebraic Specifications. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Hemant D. Pande, William Landi |
Interprocedural Def-Use Associations in C Programs. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
C |
25 | Hiralal Agrawal, Richard A. DeMillo, Eugene H. Spafford |
Dynamic Slicing in the Presence of Unconstrained Pointers. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
25 | A. Jefferson Offutt, Stephen D. Lee |
How Strong is Weak Mutation? |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Carlo Ghezzi, Richard A. Kennerer |
Executing Formal Specifications: The ASTRAL to TRIO Translation Approach. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Phyllis G. Frankl, Stewart N. Weiss |
An Experimental Comparison of the Effectiveness of the All-Uses and All-Edges Adequacy Criteria. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Rajiv Gupta 0001, Madalene Spezialetti |
Loop Monotonic Computations: An Approach for the Efficient Run-Time Detection of Races. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
25 | George S. Avrunin, Ugo A. Buy, James C. Corbett, Laura K. Dillon, Jack C. Wileden |
Experiments with an Improved Constrained Expression Toolset. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Wei Jen Yeh, Michal Young |
Compositional Reachability Analysis Using Process Algebra. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | William D. Young |
Formal Methods versus Software Engineering: Is There a Conflict? |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
|
25 | John H. Rowland, Y. Zuyuan |
Experimental Comparison of Three System Test Strategies Preliminary Report. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | George S. Avrunin, Jack C. Wileden, Laura K. Dillon |
Experiments in Automated Analysis of Concurrent Software Systems. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Michal Young, Richard N. Taylor, K. Forester, Debra Brodbeck |
Integrated Concurrency Analysis in a Software Development Enviornment. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Mark Moriconi |
A Practical Approach to Semantic Configuration Management. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Marc J. Balcer, William M. Hasling, Thomas J. Ostrand |
Automatic Generation of Test Scripts from Formal Test Specifications. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Kurt M. Olender, Leon J. Osterweil |
Cesar: A Static Sequencing Constraint Analyzer. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | William E. Howden |
Validating Programs without Specifications. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | D. Perry |
The Logic of Propagation in the Inscape Environment. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Susan L. Gerhart |
Preliminary Summary: FM89 Assessment of Formal Methods for Trustworthy Computer Systems. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
25 | William D. Young |
Verified Compilation in micro-Gypsy. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
GYPSY |
23 | Luay Ho Tahat, Atef Bader, Boris Vaysburg, Bogdan Korel |
Requirement-Based Automated Black-Box Test Generation. |
COMPSAC |
2001 |
DBLP DOI BibTeX RDF |
Selective Testing, Requirements, Regression Testing, System Model, SDL, Black-Box Testing, System Testing, Automated Test Generation, EFSM |
23 | Kuen-Jong Lee, Tsung-Chu Huang, Jih-Jeen Chen |
Peak-power reduction for multiple-scan circuits during test application. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
peak-power reduction, multiple scan chain based circuits, peak periodicity, peak width, power waveforms, scan-based circuits, delay buffers, interleaving scan technique, data output, logic testing, logic testing, delays, integrated circuit testing, application specific integrated circuits, SOC, boundary scan testing |
23 | Andy Podgurski, Wassim Masri, Yolanda McCleese, Francis G. Wolff, Charles Yang 0001 |
Estimation of Software Reliability by Stratified Sampling. |
ACM Trans. Softw. Eng. Methodol. |
1999 |
DBLP DOI BibTeX RDF |
beta testing, operational testing, software testing, software reliability, cluster analysis, statistical testing, stratified sampling |
23 | Monika Rauch Henzinger, Michael L. Fredman |
Lower Bounds for Fully Dynamic Connectivity Problems in Graphs. |
Algorithmica |
1998 |
DBLP DOI BibTeX RDF |
Dynamic planarity testing, Dynamic connectivity testing, Dynamic planarity testing, Dynamic connectivity testing, Lower bounds, Lower bounds, Key words, Cell probe model, Cell probe model |
23 | Antoni Ferré, Joan Figueras |
On estimating bounds of the quiescent current for IDDQ testin. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
quiescent current bounds, sensing circuitry design, VLSI, logic testing, integrated circuit testing, ATPG, automatic testing, CMOS integrated circuits, leakage currents, I/sub DDQ/ testing, CMOS ICs, hierarchical approach |
23 | Marcello Dalpasso, Michele Favalli, Piero Olivo |
Test pattern generation for IDDQ: increasing test quality. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
ATPG strategy, quiescent power supply current monitoring, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, CMOS logic circuits, I/sub DDQ/ testing |
23 | Imtiaz P. Shaik, Michael L. Bushnell |
Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . |
VTS |
1995 |
DBLP DOI BibTeX RDF |
low overhead delay-fault BIST, constrained quadratic 0-1 programming, built-in self testing model, weighted signed graph balancing problem, VLSI, logic testing, delays, built-in self test, integrated circuit testing, logic design, automatic testing, integrated circuit design, quadratic programming, circuit design, digital integrated circuits, hazards and race conditions |
23 | Tong Liu 0007, Fabrizio Lombardi, José Salinas |
Diagnosis of interconnects and FPICs using a structured walking-1 approach. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
field programmable interconnect chips, structured walking-1 approach, boundary scan architectures, one-step test generation, two-step test generation, fault diagnosis, integrated circuit testing, diagnosis, automatic testing, boundary scan testing, interconnects testing, integrated circuit interconnections |
23 | Anand Raghunathan, Pranav Ashar, Sharad Malik |
Test generation for cyclic combinational circuits. |
VLSI Design |
1995 |
DBLP DOI BibTeX RDF |
cyclic combinational circuits, bus structures, single-stuck-at fault test pattern, test generation problem, program RAM, fault diagnosis, logic testing, integrated circuit testing, network topology, combinational circuits, automatic testing, fault coverage, test pattern generators, formal analysis, data paths, testing algorithm, combinational logic circuits, untestable faults |
23 | Pedro de Alcântara dos Santos Neto, Rodolfo F. Resende, Clarindo Isaías Pereira da Silva e Pádua |
An evaluation of a model-based testing method for information systems. |
SAC |
2008 |
DBLP DOI BibTeX RDF |
software testing, model-based testing, testing automation, test requirements |
23 | Mikhail Auguston, James Bret Michael, Man-tak Shing |
Environment behavior models for scenario generation and testing automation. |
ACM SIGSOFT Softw. Eng. Notes |
2005 |
DBLP DOI BibTeX RDF |
reactive and real time system testing, model-based testing, testing automation |
23 | Sarfraz Khurshid, Darko Marinov |
TestEra: Specification-Based Testing of Java Programs Using SAT. |
Autom. Softw. Eng. |
2004 |
DBLP DOI BibTeX RDF |
Java testing, TestEra, SAT enumeration, software testing, specification-based testing, Alloy, automated test generation |
23 | Nashat Mansour, Miran Salame |
Data Generation for Path Testing. |
Softw. Qual. J. |
2004 |
DBLP DOI BibTeX RDF |
genetic algorithms, software testing, simulated annealing, software quality, structural testing, path testing |
23 | Spyros Tragoudas, N. Denny |
Path delay fault testing using test points. |
ACM Trans. Design Autom. Electr. Syst. |
2003 |
DBLP DOI BibTeX RDF |
path delay fault simulation (coverage), testing digital circuits, design for testability, Automatic test pattern generation, delay testing, path delay fault testing |
23 | Hans G. Kerkhoff, Mustafa Acar |
Testable Design and Testing of Micro-Electro-Fluidic Arrays. |
VTS |
2003 |
DBLP DOI BibTeX RDF |
Multi-domain testing, Microsystem testing, Multi-domain fault modeling & simulation, Design-for-Testability, MEMS testing |
23 | Nandu Tendolkar, Robert F. Molyneaux, Carol Pyron, Rajesh Raina |
At-Speed Testing of Delay Faults for Motorola's MPC7400, a PowerPC(tm) Microprocessor. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
delay testing, at-speed testing, microprocessor testing |
23 | Aynur Abdurazik, Paul Ammann, Wei Ding 0003, A. Jefferson Offutt |
Evaluation of Three Specification-Based Testing Criteria. |
ICECCS |
2000 |
DBLP DOI BibTeX RDF |
PROBSUBSUMES measure, specification-mutation coverage, full predicate coverage, transition-pair coverage, program testing, specification-based testing, testing criteria, model checker |
23 | Abhijit Jas, Nur A. Touba |
Using an Embedded Processor for Efficient Deterministic Testing of Systems-on-a-Chip. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
Test Vector Compression, External Testing, Built-In Self-Test, Embedded Processor, System-on-a-Chip, Automatic Test Equipment, At-Speed Testing, Scan Chains, Deterministic Testing |
23 | S. Crepaux-Motte, Mireille Jacomino, Rene David |
An algebraic method for delay fault testing. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
input values, output function, robustly testable fault, nonrobustly testable fault, weakly verifiable, fault diagnosis, logic testing, delays, timing, Markov processes, random testing, delay fault testing, state transition, input vectors, algebraic method |
23 | Fidel Muradali, Janusz Rajski |
A self-driven test structure for pseudorandom testing of non-scan sequential circuits. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
self-driven test structure, primary inputs, nonscan sequential circuits, test point structure, parallel pseudorandom test patterns, test mode flag, stuck-at fault coverage, ISCAS-89 benchmarks, logic testing, built-in self test, integrated circuit testing, design for testability, sequential circuits, BIST, automatic testing, circuit under test |
23 | Anne E. Gattiker, Wojciech Maly |
Current signatures [VLSI circuit testing]. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
VLSI circuit testing, current signature, passive defects, active defects, VLSI, integrated circuit testing, CMOS integrated circuits, I/sub DDQ/ testing |
23 | Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska |
Design and performance of CMOS TSPC cells for high speed pseudo random testing. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists |
23 | Hiroshi Takahashi, Nobuhiro Yanagida, Yuzo Takamatsu |
Enhancing multiple fault diagnosis in combinational circuits based on sensitized paths and EB testing. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
electron beam testing, multiple fault diagnosis, sensitized paths, EB testing, TP-1, TP-2, TP-3, TP-4, electron-beam tester, internal lines, VLSI, fault diagnosis, logic testing, combinational circuits, combinational circuits, fault location, fault location, stuck-at faults, diagnostic resolution |
23 | Remata S. Reddy, Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara |
Compact test generation for bridging faults under IDDQ testing. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
compact test generation, bit-adders, logic testing, partitioning, integrated circuit testing, fault location, stuck-at faults, CMOS logic circuits, bridging faults, logic partitioning, I/sub DDQ/ testing |
23 | Wojciech Maly, Marek J. Patyra |
Design of ICs applying built-in current testing. |
J. Electron. Test. |
1992 |
DBLP DOI BibTeX RDF |
BIC-testing, Built-in testing, current testing |
23 | Pankaj Jalote |
Testing the Completeness of Specifications. |
IEEE Trans. Software Eng. |
1989 |
DBLP DOI BibTeX RDF |
completeness testing, VAX system, data structures, Unix, program testing, conformance testing, abstract data types, test cases, axiomatic specifications |
23 | Simeon C. Ntafos |
A Comparison of Some Structural Testing Strategies. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
program testing, program testing, programming theory, structural testing, structured programming |
23 | Kalpesh Kapoor |
Formal Analysis of Coupling Hypothesis for Logical Faults. |
Innov. Syst. Softw. Eng. |
2006 |
DBLP DOI BibTeX RDF |
Logical fault, Software testing, Mutation testing, Fault-based testing, Boolean specification |
23 | Chintan Patel, Abhishek Singh 0001, Jim Plusquellic |
Defect Detection Using Quiescent Signal Analysis. |
J. Electron. Test. |
2005 |
DBLP DOI BibTeX RDF |
multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing |
23 | Noëlly Grondin, J. M. Christian Bastien, Blandine Agopian |
Les tests utilisateurs: avantages et inconvénients des passations individuelles et par paires. |
IHM |
2002 |
DBLP DOI BibTeX RDF |
co-discovery, co-participation, constructive interaction, paired-user testing, usability evaluation, usability testing, user testing, interactive TV, usability methods |
23 | Zahra Sadat Ebadi, André Ivanov |
Design of an Optimal Test Access Architecture Using a Genetic Algorithm. |
Asian Test Symposium |
2001 |
DBLP DOI BibTeX RDF |
Optimal testing time, test data width, Genetic Algorithm, Test Access Mechanism (TAM), SOC testing, Embedded core testing |
23 | Amit M. Paradkar |
SALT - An Integrated Environment to Automate Generation of Function Tests for APIs. |
ISSRE |
2000 |
DBLP DOI BibTeX RDF |
Test Design Automation, Model Based Testing, Specification-Based Testing, Fault-Based Testing |
23 | Nancy S. Eickelmann, Debra J. Richardson |
An Evaluation of Software Test Environment Architectures. |
ICSE |
1996 |
DBLP BibTeX RDF |
CITE, CONVEX Integrated Test Environment, PROLOG Test Environment, Version II, PROTest II, Software Architectural Analysis Method, TAOS, Testing with Analysis and Oracle Support, architecturally imposed constraints, environment functions allocation, implementation structures, processing algorithms, software test environment architectures, test development, test failure analysis, test measurement, test process automation, performance, software architecture, programming environments, program testing, software reusability, extensibility, reusability, software performance evaluation, portability, functionality, computer aided software engineering, software portability, testing tools, data representation, reference architecture, modifiability, test management, modifications, test planning, test execution, SAAM |
23 | Timothy J. Shimeall, Nancy G. Leveson |
An Empirical Comparison of Software Fault Tolerance and Fault Elimination. |
IEEE Trans. Software Eng. |
1991 |
DBLP DOI BibTeX RDF |
fault elimination, run-time assertions, multiversion voting, stepwise abstraction, static data-flow analysis, project resources, fault tolerant computing, software reliability, software reliability, program testing, software fault tolerance, functional testing, structural testing, code reading |
23 | Jose Pablo Escobedo, Christophe Gaston, Pascale Le Gall, Ana R. Cavalli |
Observability and Controllability Issues in Conformance Testing of Web Service Compositions. |
TestCom/FATES |
2009 |
DBLP DOI BibTeX RDF |
observability and controllability, verdict testing report, Web Service composition, Conformance testing |
23 | Ru-Gang Xu, Patrice Godefroid, Rupak Majumdar |
Testing for buffer overflows with length abstraction. |
ISSTA |
2008 |
DBLP DOI BibTeX RDF |
length abstractions, underapproximation, buffer overflows, testing c programs, directed testing |
23 | Abdelghani Benharref, Rachida Dssouli, Mohamed Adel Serhani, Abdeslam En-Nouaary, Roch H. Glitho |
New Approach for EFSM-Based Passive Testing of Web Services. |
TestCom/FATES |
2007 |
DBLP DOI BibTeX RDF |
EFSM-based passive testing, Web Services testing |
23 | Kevin J. Sullivan, Jinlin Yang, David Coppit, Sarfraz Khurshid, Daniel Jackson 0001 |
Software assurance by bounded exhaustive testing. |
ISSTA |
2004 |
DBLP DOI BibTeX RDF |
TestEra, formal methods, reverse engineering, specification-based testing, automated test case generation, bounded exhaustive testing |
23 | Sebastian Wieczorek, Vitaly Kozyura, Andreas Roth 0001, Michael Leuschel, Jens Bendisposto, Daniel Plagge, Ina Schieferdecker |
Applying Model Checking to Generate Model-Based Integration Tests from Choreography Models. |
TestCom/FATES |
2009 |
DBLP DOI BibTeX RDF |
Service Choreography Models, Model Checking, Formal Methods, Model-based Testing, Integration Testing |
23 | Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy 0001 |
Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Enhanced scan, Supply gating, Delay fault testing, Two-pattern testing |
23 | Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita |
Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
At-speed scan testing, Capture switching activity, X-filling, Test cube, ATPG, Low power testing |
23 | Arilo Claudio Dias Neto, Guilherme Horta Travassos |
Surveying model based testing approaches characterization attributes. |
ESEM |
2008 |
DBLP DOI BibTeX RDF |
software testing, survey, model-based testing, experimental software engineering |
|
|