The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transistor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1957 (21) 1958-1959 (15) 1960-1966 (15) 1967-1984 (19) 1985-1986 (22) 1987-1988 (46) 1989 (31) 1990 (38) 1991 (32) 1992 (30) 1993 (32) 1994 (45) 1995 (67) 1996 (56) 1997 (64) 1998 (88) 1999 (131) 2000 (108) 2001 (136) 2002 (162) 2003 (183) 2004 (179) 2005 (243) 2006 (281) 2007 (271) 2008 (267) 2009 (143) 2010 (92) 2011 (92) 2012 (98) 2013 (88) 2014 (101) 2015 (105) 2016 (108) 2017 (125) 2018 (127) 2019 (121) 2020 (116) 2021 (138) 2022 (109) 2023 (140) 2024 (28)
Publication types (Num. hits)
article(1480) book(1) data(2) incollection(5) inproceedings(2780) phdthesis(45)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1578 occurrences of 818 keywords

Results
Found 4313 publication records. Showing 4313 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Yehya H. Ghallab, Wael M. Badawy, Karan V. I. S. Kaler A Novel PH Sensor Using Differential ISFET Current Mode Read-Out Circuit. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits
12Amit Agarwal 0001, Kaushik Roy 0001 A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diode, low leakage cache, SRAM, gate leakage
12Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, C.-J. Richard Shi Automatic analog layout retargeting for new processes and device sizes. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jofre Pallares, Justo Sabadell, Francisco Serra-Graells Modeling all-MOS log filters and its application to Sigma-Delta modulators. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Fernando Muñoz 0001, Antonio J. López-Martín, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio Jesús Torralba Silgado, Meghraj Kachare, Bernardo Palomo Vázquez Extremely low supply voltage circuits based on quasi-floating gate supply voltage boosting. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yasuaki Inoue, Saeko Kusanobu, Kiyotaka Yamamura, M. Ando An effective initial solution algorithm for globally convergent homotopy methods. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera Statistical modeling of gate-delay variation with consideration of intra-gate variability. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ricardo Salem Zebulum, Didier Keymeulen, Vu Duong, Xin Guo 0002, Michael I. Ferguson, Adrian Stoica Experimental Results in Evolutionary Fault-Recovery for Field Programmable. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chandramouli Gopalakrishnan, Srinivas Katkoori Resource Allocation and Binding Approach for Low Leakage Power. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF doping profiles, leakage, tunneling, threshold voltage
12Massimo Alioto, Gaetano Palumbo Analysis and comparison on full adder block in submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Swarup Bhunia, Hai Li, Kaushik Roy 0001 A High Performance IDDQ Testable Cache for Scaled CMOS Technologies. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark Managing leakage for transient data: decay and quasi-static 4T memory cells. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 4T, decay, memory cell, quasi-static, transient data, leakage power
12Bill Pontikakis, Mohamed Nekili A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Salvatore Pontarelli, Gian Carlo Cardarilli, A. Leandri, Marco Ottavi, Marco Re, Adelio Salsano A self-checking cell logic block for fault tolerant FPGAs. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Adrian Stoica, Ricardo Salem Zebulum, Michael I. Ferguson, Didier Keymeulen, Vu Duong Evolving Circuits in Seconds: Experiments with a Stand-Alone Board-Level Evolvable System. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Kuo-Hsing Cheng, Shun-Wen Cheng Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF full-swing logic, Low power design, VLSI design, hybrid logic, prime implicant
12Sergei P. Skorobogatov, Ross J. Anderson Optical Fault Induction Attacks. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Pradip Mandal, V. Visvanathan CMOS op-amp sizing using a geometric programming formulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mohamed Hafed, Mourad Oulmane, Nicholas C. Rumin Delay and current estimation in a CMOS inverter with an RC load. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kenneth L. Shepard, Dae-Jin Kim Body-voltage estimation in digital PD-SOI circuits and itsapplication to static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mike Sheng, Jonathan Rose Mixing buffers and pass transistors in FPGA routing architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Paul Kartschoke, Stephen F. Geissler Timing Driven Wiring on an Advanced Microprocessor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yiannis Moisiadis, Ilias Bouras, Angela Arapoyanni, Lampros Dermentzoglou A high-performance low-power static differential double edge-triggered flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Hanspeter Schmid The current-feedback OTA. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Huiting Chen, Frank Whiteside, Randall L. Geiger Current mirror circuit with accurate mirror gain for low beta transistors. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ioannis M. Thoidis, Dimitrios Soudris, Jean-Marc Fernandez, Adonios Thanailakis The circuit design of multiple-valued logic voltage-mode adders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Chua-Chin Wang, Po-Ming Lee, Rong-Chin Lee, Chenn-Jung Huang A 1.25 GHz 32-bit tree-structured carry lookahead adder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yi-Min Jiang, Han Young Koh, Kwang-Ting Cheng HRM - A Hierarchical Simulator for Full-Chip Power Network Reliability Analysis. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Jörg Langeheine, Joachim Becker, Simon Fölling, Karlheinz Meier, Johannes Schemmel A Cmos Fpta Chip For Intrinsic Hardware Evolution Of Analog Electronic Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Rajiv V. Joshi, Wei Hwang, Andreas Kuehlmann Design Of Provably Correct Storage Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins Intrinsic leakage in deep submicron CMOS ICs-measurement-based test solutions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Cecilia Metra, Michele Favalli, Bruno Riccò Self-Checking Detection and Diagnosis of Transient, Delay, and Crosstalk Faults Affecting Bus Lines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus lines, diagnosis, transient faults, On-line testing, delay faults, self-checking, crosstalk faults
12Philip P. Shirvani, Subhasish Mitra, Jo C. Ebergen, Marly Roncken DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DUDES, testing, ATPG, fault model, asynchronous circuit, stuck-at fault, fault collapsing
12Tirdad Sowlati, Sifen Luo Bias boosting technique for a 1.9GHz class AB RF amplifier. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Kenji Shimazaki, Hiroyuki Tsujikawa, Seijiro Kojima, Shouzou Hirano LEMINGS: LSI's EMI-Noise Analysis with Gate Level Simulator. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Lifeng Wu, Jingkun Fang, Heting Yan, Ping Chen, Alvin I-Hsien Chen, Yoshifumi Okamoto, Chune-Sin Yeh, Zhihong Liu, Nobufusa Iwanishi, Norio Koike, Hirokazu Yonezawa, Yoshiyuki Kawakami GLACIER: A Hot Carrier Gate Level Circuit Characterization and Simulation System for VLSI Design. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hot Carrier Effect, Gate level modeling, Gate level simulation, Circuit reliability simulation, VLSI
12Kamran Eshraghian Deep Submicron USLI Design Paradigm: Who is Writing the Future? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Alexander Chatzigeorgiou, Spiridon Nikolaidis 0001, Ioannis Tsoukalas A modeling technique for CMOS gates. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries
12Feng Zhou, Zhijun Huang, Jiarong Tong, Pushan Tang An Analytical Delay Model for SRAM-Based FPGA Interconnections. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rung-Bin Lin, Jinq-Chang Chen Low Power CMOS Off-Chip Drivers with Slew-rate Difference. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12D. Guillet, K. Mourgues, R. Rogel, H. Lhermite, Olivier Bonnaud Student Realization in Cleanroom of Silicon-Germanium Thin Film Transistors. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Serkan Askar, Maciej J. Ciesielski Analytical approach to custom datapath design. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Robert W. Brodersen System-on-a-Chip VLSI - Is It Finally Really Here? Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jeremy Casas, Hannah Honghua Yang, Manpreet Khaira, Mandar Joshi, Thomas Tetzlaff, Steve W. Otto, Erik Seligman Logic Verification of Very Large Circuits Using Shark. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah, Chai Wah Wu JiffyTune: circuit optimization using time-domain sensitivities. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Sumbal Rafiq, André Ivanov, Sassan Tabatabaei, Michel Renovell Testing for Floating Gates Defects in CMOS Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Dhamin Al-Khalili, Saman Adham, Côme Rozon, Moazzem Hossain, Douglas Racz Comprehensive Defect Analysis and Defect Coverage of CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF CMOS Defect Modeling, Defect Analysis
12Gregory Steele, David Overhauser, Steffen Rochel, Syed Zakir Hussain Full-Chip Verification Methods for DSM Power Distribution Systems. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reliability, PowerPC, IR-drop, power distribution network
12David Zhang 0001, Mohamed I. Elmasry VLSI compressor design with applications to digital neural networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Xrysovalantis Kavousianos, Dimitris Nikolos, G. Sidiropoulos Design of Compact and High speed, Totally Self Checking CMOS Checkers for m-out-of-n Codes. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SelfChecking circuits, totally selfchecking circuits, moutofn codes, fault tolerance
12Mitiko Miura-Mattausch, Ute Feldmann, Alexander Rahm, Michael Bollu, Dominique Savignac Unified complete MOSFET model for analysis of digital and analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Uwe Gläser, Heinrich Theodor Vierhaus Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Marco Saraniti, Achim Rein, Günther Zandler, Peter Vogl, Paolo Lugli An efficient multigrid Poisson solver for device simulations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Narayan R. Aluru, Kincho H. Law, Robert W. Dutton Simulation of the hydrodynamic device model on distributed memory parallel computers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta 0001 Fault macromodeling and a testing strategy for opamps. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF catastrophic fault model, operational amplifier design for testability, analog test, macromodeling, parametric faults
12Jaume Segura 0001, Carol de Benito, Antonio Rubio 0001, Charles F. Hawkins A detailed analysis and electrical modeling of gate oxide shorts in MOS transistors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault modeling, physical defects, gate oxide short
12Kuen-Jong Lee, Chih-Nan Wang, Rajiv Gupta 0002, Melvin A. Breuer An integrated system for assigning signal flow directions to CMOS transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Timothy Kam, P. A. Subrahmanyam Comparing layouts with HDL models: a formal verification technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Siyad C. Ma, Edward J. McCluskey Open faults in BiCMOS gates. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Elizabeth J. Brauer, Sung-Mo Kang An algorithm for functional verification of digital ECL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer SWiTEST: a switch level test generation system for CMOS combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Mitiko Miura-Mattausch Analytical MOSFET model for quarter micron technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Eric A. Vittoz Analog VLSI signal processing: Why, where, and how? Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta 0001 A comprehensive fault macromodel for opamps. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Mitiko Miura-Mattausch, Ute Feldmann, Alexander Rahm, Michael Bollu, Dominique Savignac Unified complete MOSFET model for analysis of digital and analog circuits. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Syed A. Aftab, M. A. Styblinski A new efficient approach to statistical delay modeling of CMOS digital combinational circuits. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Mark G. Graham, John J. Paulos Interpolation of MOSFET table data in width, length, and temperature. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Nils Hedenstierna, Kjell O. Jeppson Comments on 'A module generator for optimized CMOS buffers'. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Denis Martin, Nicholas C. Rumin Delay prediction from resistance-capacitance models of general MOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Andrew T. Yang, Yu-Hsu Chang, Daniel G. Saab, Ibrahim N. Hajj Switch-level timing simulation of bipolar ECL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Michel Renovell, Gaston Cambon Electrical analysis and modeling of floating-gate fault. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Michele Favalli, Piero Olivo, Maurizio Damiani, Bruno Riccò Fault simulation of unconventional faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12J. H. Smith, Kenneth M. Steer, Timothy F. Miller, Stephen J. Fonash Numerical modeling of two-dimensional device structures using Brandt's multilevel acceleration scheme: application to Poisson's equation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Sreejit Chakravarty, S. S. Ravi Computing optimal test sequences from complete test sets for stuck-open faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12David Marple, Michiel Smulders, Henk Hegen Tailor: a layout system based on trapezoidal corner stitching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Daniel G. Saab, Robert B. Mueller-Thuns, David T. Blaauw, Joseph T. Rahmeh, Jacob A. Abraham Hierarchical multi-level fault simulation of large systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multilevel simulation, testing, fault simulation, VLSI design
12R. Burgess, C. Wouters PARAGON: a new package for gate matrix layout synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF cell generation, gate-matrix layout, routing, simulated annealing, optimisation, placement, logic synthesis, physical design
12David T. Blaauw, Daniel G. Saab, Junsheng Long, Jacob A. Abraham Derivation of signal flow for switch-level simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Veronika Eisele, Bernhard Hoppe, Oliver Kiehl Transmission gate delay models for circuit optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Yung-Ching Hsieh, Chi-Yi Hwang, Youn-Long Lin, Yu-Chin Hsu LiB: A Cell Layout Generator. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Hal R. Yeager, Robert W. Dutton Improvement in norm-reducing Newton methods for circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Charles J. Poirier Excellerator: custom CMOS leaf cell layout generator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Richard W. Linderman, Paul C. Rossbach, David M. Gallagher Design and application of an optimizing XROM silicon compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Chung-Ping Wan, Bing J. Sheu Temperature dependence modeling for MOS VLSI circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Michael Rumsey, John Sackett An ASIC Methodology for Mixed Analog-Digital Simulation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Patrick Odent, Luc J. M. Claesen, Hugo De Man Feedback Loops and Large Subcircuits in the Multiprocessor Implementation of a Relaxation Based Circuit Simulator. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Genhong Ruan, Jirí Vlach, James A. Barby Current-limited switch-level timing simulator for MOS logic networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
12Shiuh-Wuu Lee, Robert C. Rennick A compact IGFET model-ASIM. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
12Michael H. Arnold, Walter S. Scott An Interactive Maze Router with Hints. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
12James J. Cherry Pearl: A CMOS Timing Analyzer. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
12Ching-Farn Eric Wu, Anthony S. Wojcik, Lionel M. Ni A Rule-Based Circuit Representation for Automated CMOS Design and Verification. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Peter Odryna, Kevin Nazareth, Carl Christensen A workstation-mixed model circuit simulator. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
12Randal E. Bryant, Michael Dd. Schuster Performance evaluation of FMOSSIM, a concurrent switch-level fault simulator. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Ke Li, Surojit Sen A Fast and Accurate GaN Power Transistor Model and Its Application for Electric Vehicle. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Seyed Mojtaba Sadati Faramarzi, Bin Luo, Jef Poortmans, Jan Genoe, Kris Myny Thin-Film Transistor-Based Sensor Interface Circuits Enabling Distributed Local In-Module Solar Cell Temperature Monitoring. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 4313 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license