The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Irith Pomeranz, Sudhakar M. Reddy On test generation by input cube avoidance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Bernhard Fechner, Andre Osterloh Transient Fault Detection in State-Automata. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Patrick Ndai, Shih-Lien Lu, Dinesh Somasekhar, Kaushik Roy 0001 Fine-Grained Redundancy in Adders. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ranjani Sridharan, Rabi N. Mahapatra Analysis of RealTime Embedded Applications in the Presence of a Stochastic Fault Model. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Danhua Shao, Sarfraz Khurshid, Dewayne E. Perry Evaluation of Semantic Interference Detection in Parallel Changes: an Exploratory Experiment. Search on Bibsonomy ICSM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19María Engracia Gómez, Nils Agne Nordbotten, José Flich, Pedro López 0001, Antonio Robles, José Duato, Tor Skeie, Olav Lysne A Routing Methodology for Achieving Fault Tolerance in Direct Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bubble flow control, Fault tolerance, adaptive routing, virtual channels, direct networks
19Robert Schaefer A critical programmer searches for professionalism. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Soyoung Hwang, Yunju Baek Fault Tolerant Time Synchronization for Wireless Sensor Networks. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Masayasu Fukunaga, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato A dynamic test compaction procedure for high-quality path delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Damien Masson, Serge Midonnet Fault tolerance with real-time Java. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Juan Li, Gong-You Tang, Hong-Wei Gao Fault Detection and Self-Restore Control for Linear Systems. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Pan Zhongliang, Chen Ling, Liu Shouqiang, Guangzhao Zhang Neural Network Approach for Multiple Fault Test of Digital Circuit. Search on Bibsonomy ISDA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wenjun Li, Yuanchun Li A Method of Abrupt Sensor Fault Diagnosis. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Frank Olaf Sem-Jacobsen, Tor Skeie, Olav Lysne, José Duato Dynamic Fault Tolerance with Misrouting in Fat Trees. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Toshinori Sato, Akihiro Chiyonobu Evaluating the Impact of Fault Recovery on Superscalar Processor Performance. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shiyi Xu A New Approach to Improving the Test Effectiveness in Software Testing Using Fault Collapsing. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Software Testing, Mutation Testing, Testing Effectiveness, Fault Equivalence, Fault Dominance
19N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
19Lee-Juan Fan, Chang-Biau Yang, Shyue-Horng Shiau Routing Algorithms on the Bus-Based Hypercube Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multiple-bus network, fault tolerance, hypercube, routing algorithm, diameter
19Jerker Hammarberg, Simin Nadjm-Tehrani Formal verification of fault tolerance in safety-critical reconfigurable modules. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault tolerance, FPGA, Formal verification, Safety analysis, Esterel
19Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
19Elizabeth Latronico, Philip Koopman Design Time Reliability Analysis of Distributed Fault Tolerance Algorithms. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Mohammad Tanvir Huda, Heinz W. Schmidt, Ian D. Peake An Agent Oriented Proactive Fault-Tolerant Framework for Grid Computing. Search on Bibsonomy e-Science The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz, Srikanth Venkataraman, Sudhakar M. Reddy Fault Diagnosis and Fault Model Aliasing. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Santosh Biswas, P. Srikanth, R. Jha, Siddhartha Mukhopadhyay, Amit Patra, Dipankar Sarkar 0001 On-Line Testing of Digital Circuits for n-Detect and Bridging Fault Models. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Sandip Bapat, Vinodkrishnan Kulathumani, Anish Arora Analyzing the Yield of ExScal, a Large-Scale Wireless Sensor Network Experiment. Search on Bibsonomy ICNP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Paulo Sousa 0001, Nuno Ferreira Neves, Paulo Veríssimo Resilient State Machine Replication. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Reza Asgary, Karim Mohammadi Analog Fault Detection Using a Neuro Fuzzy Pattern Recognition Method. Search on Bibsonomy ICANN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ching-Tien Ho, Larry J. Stockmeyer A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, parallel computing, wormhole routing, mesh networks, Fault-tolerant routing
19Jan Lunze, Jochen Schröder Sensor and actuator fault diagnosis of systems with discrete inputs and outputs. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Lars-Ola Damm, Lars Lundberg, Claes Wohlin Determining the Improvement Potential of a Software Development Organization Through Fault Analysis: A Method and a Case Study. Search on Bibsonomy EuroSPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Nikhil Joshi, Kaijie Wu 0001, Ramesh Karri Concurrent Error Detection Schemes for Involution Ciphers. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Involutional ciphers, KHAZAD, Fault Tolerance, Concurrent Error Detection (CED)
19Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi, Nohpill Park Maximal diagnosis of interconnects of random access memories. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri, Vincenzo Piuri Error Analysis and Detection Procedures for a Hardware Implementation of the Advanced Encryption Standard. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parity codes, fault tolerance, fault detection, Advanced Encryption Standard, AES
19João Durães, Henrique Madeira Definition of Software Fault Emulation Operators: A Field Data Study. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Saravanan Padmanaban, Spyros Tragoudas Non-Enumerative Path Delay Fault Diagnosis . Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Yunzhan Gong, Wanli Xu, Xiaowei Li 0001 An Expression's Single Fault Model and the Testing Methods. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Michael R. Lyu, Zubin Huang, Sam K. S. Sze, Xia Cai An Empirical Study on Testing and Fault Tolerance for Software Reliability Engineering. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data flow coverage testing, empirical study, software fault tolerance, mutation testing
19Vinícius P. Correia, Marcelo Lubaszewski, André Inácio Reis SIFU! - A Didactic Stuck-at Fault Simulator. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Pedro Yuste, Juan-Carlos Ruiz-Garcia, Lenin Lemus, Pedro J. Gil Non-intrusive Software-Implemented Fault Injection in Embedded Systems. Search on Bibsonomy LADC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Rajesh Venkatasubramanian, John P. Hayes, Brian T. Murray Low-Cost On-Line Fault Detection Using Control Flow Assertions. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Allen P. Nikora, John C. Munson Understanding the Nature of Software Evolution. Search on Bibsonomy ICSM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Der-Cheng Huang, Wen-Ben Jone A parallel transparent BIST method for embedded memory arrays bytolerating redundant operations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Michiko Inoue, Emil Gizdarski, Hideo Fujiwara Sequential Circuits with Combinational Test Generation Complexity under Single-Fault Assumption. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF internally balanced structure, test generation, sequential circuit, combinational circuit, balanced structure
19Scott F. Kaplan, Lyle A. McGeoch, Megan F. Cole Adaptive caching for demand prepaging. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF block paging, prepaging, clustering, adaptive caching
19Giuliano Antoniol, Lionel C. Briand, Massimiliano Di Penta, Yvan Labiche A Case Study Using the Round-Trip Strategy for State-Based Class Testing. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yu-Seung Ma, Yong Rae Kwon, Jeff Offutt Inter-Class Mutation Operators for Java. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Volkmar Sieh, Kerstin Buchacker UMLinux - A Versatile SWIFI Tool. Search on Bibsonomy EDCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Sudhakar M. Reddy, Irith Pomeranz, Huaxing Tang, Seiji Kajihara, Kozo Kinoshita On Testing of Interconnect Open Defects in Combinational Logic Circuits with Stems of Large Fanout. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Kamran Zarrineh, Shambhu J. Upadhyaya, Sreejit Chakravarty Automatic generation and compaction of March tests for memory arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Pankaj Pant, Yuan-Chieh Hsu, Sandeep K. Gupta 0001, Abhijit Chatterjee Path delay fault diagnosis in combinational circuits with implicitfault enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Jun-Weir Lin, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Fault Diagnosis for Linear Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF diagnosing evaluators, un-powered network, fault diagnosis, signal flow graph
19Seo-Lim Park, Seung-Won Lee, In-Hwan Kim, Ki-Dong Chung Server Switching and Flow Control: Supporting Fault Tolerant Playout of Videos in a VoD System. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz, Sudhakar M. Reddy On synchronizable circuits and their synchronizing sequences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Jue Wu, Elizabeth M. Rudnick Bridge fault diagnosis using stuck-at fault simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of CMOS SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient power supply current (i DDT), transient current sensor, disturb fault, CMOS SRAM
19Ravishankar K. Iyer, Zbigniew Kalbarczyk, Mahesh Kalyanakrishnan Measurement-based Analysis of Networked System Availability. Search on Bibsonomy Performance Evaluation The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Laurence Goodby, Alex Orailoglu Test Quality and Fault Risk in Digital Filter Datapath BIST. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Rostislav Caha, Petr Gregor Embedding Fibonacci Cubes into Hypercubes with Omega(2cn) Faulty Nodes. Search on Bibsonomy MFCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Parag K. Lala, Alvernon Walker An On-Line Reconfigurable FPGA Architecture. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Hans Hansson, Christer Norström, Sasikumar Punnekkat Reliability Modelling of Time-Critical Distributed Systems. Search on Bibsonomy FTRTFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19László Kovács, Gábor Terstyánszky Boundary Region Sensitive Classification for the Counterpropagation Neural Network. Search on Bibsonomy IJCNN (1) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF neural networks, classification, fault diagnosis, uncertainty, learning algorithms
19Timothy K. Tsai, Mei-Chen Hsueh, Hong Zhao, Zbigniew Kalbarczyk, Ravishankar K. Iyer Stress-Based and Path-Based Fault Injection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF stress-based, path-based, program flow, fault tolerance, dependability, Fault injection, workload
19Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich Analog testing by characteristic observation inference. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries
19Ronald J. Hayne, Barry W. Johnson Behavioral Fault Modeling in a VHDL Synthesis Environment. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Michel Cukier, Ramesh Chandra, David Henke, Jessica Pistole, William H. Sanders Fault Injection based on a Partial View of the Global State of a Distributed System. Search on Bibsonomy SRDS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Adam A. Porter, Lawrence G. Votta Comparing Detection Methods For Software Requirements Inspections: A Replication Using Professional Subjects. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF replication, inspection, controlled experiment
19João Carreira, Henrique Madeira, João Gabriel Silva Xception: A Technique for the Experimental Evaluation of Dependability in Modern Computers. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF real time, Fault injection, dependability evaluation, RISC processors
19Douglas Chang, Kwang-Ting Cheng, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee Functional Scan Chain Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF functional scan chain, alternating sequence, scan chain testing, design for testability, test point insertion
19Michael S. Hsiao, Srimat T. Chakradhar Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of SRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Kamran Zarrineh, Shambhu J. Upadhyaya, Sreejit Chakravarty A new framework for generating optimal March tests for memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Hyung Ki Lee, Dong Sam Ha HOPE: an efficient parallel fault simulator for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Naotake Kamiura, Yutaka Hata, Kazuharu Yamato On Design of Fail-Safe Cellular Arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fail-safe logic system, Binary Decision Diagram and switch cell, cellular array
19Ghassan Al Hayek, Chantal Robach On the Adequacy of Deriving Hardware Test Data from the Behavioral Specification. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware test data, behavioral fault modeling, gate-level strategies, high-level fault detection, gate-level fault detection, design automation tools, generated test set, gate-level fault coverage, hardware description languages, hardware description languages, behavioral specification
19S. Crepaux-Motte, Mireille Jacomino, Rene David An algebraic method for delay fault testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF input values, output function, robustly testable fault, nonrobustly testable fault, weakly verifiable, fault diagnosis, logic testing, delays, timing, Markov processes, random testing, delay fault testing, state transition, input vectors, algebraic method
19Bethany Man-Yee Chan, Francis Y. L. Chin, Chung Keung Poon Optimal Simulation of Full Binary Trees on Faulty Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Cinzia Bernardeschi, Alessandro Fantechi, Luca Simoncini Formal Reasoning on Fault Coverage of Fault Tolerant Techniques: A Case Study. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19W. K. Al-Assadi, Yashwant K. Malaiya, Anura P. Jayasumana Faulty behavior of storage elements and its effects on sequential circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Paris C. Kanellakis, Dimitrios Michailidis, Alexander A. Shvartsman Controlling Memory Access Concurrency in Efficient Fault-Tolerant Parallel Algorithms (Extended Abstract). Search on Bibsonomy WDAG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Antonio Lioy Advanced Fault Collapsing (Logic Circuits Testing). Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Michael Demjanenko, Shambhu J. Upadhyaya Yield enhancement of field programmable logic arrays by inherent component redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Danny Dolev, Rüdiger Reischuk, H. Raymond Strong Early Stopping in Byzantine Agreement Search on Bibsonomy J. ACM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Charles H. Stapper Simulation of spatial fault distributions for integrated circuit yield estimations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19A. Jefferson Offutt The Coupling Effect: Fact or Fiction. Search on Bibsonomy Symposium on Testing, Analysis, and Verification The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz, Sudhakar M. Reddy Identifying Tests for Logic Fault Models Involving Subsets of Lines without Fault Enumeration. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnect open faults, test generation, bridging faults, static test compaction
19Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA redundancy, interconnect faults, fault tolerance, yield enhancement, interconnect model, yield prediction, catastrophic faults, FPGA interconnect
19M. J. Geuzebroek, J. Th. van der Linden, Ad J. van de Goor Test Point Insertion that Facilitates ATPG in Reducing Test Time and Data Volume. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compact test sets, ATPG, fault coverage, stuck-at faults, test length, Test point insertion, gate-delay faults
19Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
19Michael Nicolaidis Theory of Transparent BIST for RAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RAM test algorithms, transparent BIST, BIST, signature analysis, pattern sensitive faults, coupling faults
19Irith Pomeranz, Sudhakar M. Reddy On Removing Redundancies from Synchronous Sequential Circuits with Synchronizing Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF partially detectable faults, synchronous sequential circuits, redundant faults, redundancy removal, synchronizing sequences, Fault removal
19Daniel J. Kleitman, Frank Thomson Leighton, Yuan Ma On the Design of Reliable Boolean Circuits that Contain Partially Unreliable Gates Search on Bibsonomy FOCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF reliable Boolean circuits design, partially unreliable gates, gate failure, short-circuit model, von Neumann model, worst-case faults, post-test alterations, tight bound, random faults, fault-tolerant circuits
19Rohit Kapur, M. Ray Mercer Bounding Signal Probabilities for Testability Measurement Using Conditional Syndromes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bounding algorithm, circuit faults, conditional syndromes, auxiliary gate, pseudorandom pattern resistant faults, circuit structure, computational complexity, lower bounds, built-in self test, integrated circuit testing, circuit analysis computing, signal probabilities, testability measurement, random pattern testability
19Thyagaraju R. Damarla Generalized Transforms for Multiple Valued Circuits and Their Fault Detection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF generalised transforms, simple transforms, multiple value network, multiple valued circuits, spectral coefficients, VLSI, transforms, fault detection, upper bound, fault location, stuck at faults, many-valued logics, bridging faults, logic circuits, multiple valued functions, test patterns, test set generation, canonical representation, radix
19Xiaoqing Wen, Kozo Kinoshita A Testable Design of Logic Circuits under Highly Observable Condition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF highly observable condition, fault tolerant computing, logic testing, integrated circuit testing, combinational circuit, stuck-at faults, logic circuits, integrated logic circuits, combinatorial circuits, stuck-open faults, testable design
19T. Raju Damarla, Mark G. Karpovsky Fault Detection in Combinational Networks by Reed-Muller Transforms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF single bridging faults, computational complexity, logic testing, test generation, fault detection, time complexity, upper bound, combinatorial circuits, combinational networks, test patterns, Reed-Muller transforms, multiple stuck-at-faults
19Kang G. Shin, Parameswaran Ramanathan Transmission Delays in Hardware Clock Synchronization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF hardware clock synchronization, malicious faults, delays, synchronisation, clocks, Byzantine faults, transmission delays, time overhead, clock signals
19Israel Koren, Zahava Koren, Stephen Y. H. Su Analysis of a Class of Recovery Procedures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF program rollback, error recovery procedures, Checkpoint, intermittent faults, permanent faults, instruction retry, error latency
19Dimitris Nikolos, Nikolaos Gaitanis, George Philokyprou Systematic t-Error Correcting/All Unidirectional Error Detecting Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF parity check codes, t-EC/AUED codes, error detection, error correction, transient faults, unidirectional errors, permanent faults, Concatenated codes, symmetric errors
Displaying result #701 - #800 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license