|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10002 occurrences of 3019 keywords
|
|
|
Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Irith Pomeranz, Sudhakar M. Reddy |
On test generation by input cube avoidance. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Bernhard Fechner, Andre Osterloh |
Transient Fault Detection in State-Automata. |
DepCoS-RELCOMEX |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Patrick Ndai, Shih-Lien Lu, Dinesh Somasekhar, Kaushik Roy 0001 |
Fine-Grained Redundancy in Adders. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Ranjani Sridharan, Rabi N. Mahapatra |
Analysis of RealTime Embedded Applications in the Presence of a Stochastic Fault Model. |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Danhua Shao, Sarfraz Khurshid, Dewayne E. Perry |
Evaluation of Semantic Interference Detection in Parallel Changes: an Exploratory Experiment. |
ICSM |
2007 |
DBLP DOI BibTeX RDF |
|
19 | María Engracia Gómez, Nils Agne Nordbotten, José Flich, Pedro López 0001, Antonio Robles, José Duato, Tor Skeie, Olav Lysne |
A Routing Methodology for Achieving Fault Tolerance in Direct Networks. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
bubble flow control, Fault tolerance, adaptive routing, virtual channels, direct networks |
19 | Robert Schaefer |
A critical programmer searches for professionalism. |
ACM SIGSOFT Softw. Eng. Notes |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Soyoung Hwang, Yunju Baek |
Fault Tolerant Time Synchronization for Wireless Sensor Networks. |
ARCS |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Masayasu Fukunaga, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato |
A dynamic test compaction procedure for high-quality path delay testing. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Damien Masson, Serge Midonnet |
Fault tolerance with real-time Java. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Juan Li, Gong-You Tang, Hong-Wei Gao |
Fault Detection and Self-Restore Control for Linear Systems. |
ISDA (1) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Pan Zhongliang, Chen Ling, Liu Shouqiang, Guangzhao Zhang |
Neural Network Approach for Multiple Fault Test of Digital Circuit. |
ISDA (3) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Wenjun Li, Yuanchun Li |
A Method of Abrupt Sensor Fault Diagnosis. |
ISDA (1) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Frank Olaf Sem-Jacobsen, Tor Skeie, Olav Lysne, José Duato |
Dynamic Fault Tolerance with Misrouting in Fat Trees. |
ICPP |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Toshinori Sato, Akihiro Chiyonobu |
Evaluating the Impact of Fault Recovery on Superscalar Processor Performance. |
PRDC |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Shiyi Xu |
A New Approach to Improving the Test Effectiveness in Software Testing Using Fault Collapsing. |
PRDC |
2006 |
DBLP DOI BibTeX RDF |
Software Testing, Mutation Testing, Testing Effectiveness, Fault Equivalence, Fault Dominance |
19 | N. Venkateswaran 0002, S. Balaji, V. Sridhar |
Fault tolerant bus architecture for deep submicron based processors. |
SIGARCH Comput. Archit. News |
2005 |
DBLP DOI BibTeX RDF |
deep submicron technology, fault tolerance, interconnect, electromigration |
19 | Lee-Juan Fan, Chang-Biau Yang, Shyue-Horng Shiau |
Routing Algorithms on the Bus-Based Hypercube Network. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
Multiple-bus network, fault tolerance, hypercube, routing algorithm, diameter |
19 | Jerker Hammarberg, Simin Nadjm-Tehrani |
Formal verification of fault tolerance in safety-critical reconfigurable modules. |
Int. J. Softw. Tools Technol. Transf. |
2005 |
DBLP DOI BibTeX RDF |
Fault tolerance, FPGA, Formal verification, Safety analysis, Esterel |
19 | Fred A. Bower, Sule Ozev, Daniel J. Sorin |
Autonomic Microprocessor Execution via Self-Repairing Arrays. |
IEEE Trans. Dependable Secur. Comput. |
2005 |
DBLP DOI BibTeX RDF |
Logic design reliability and testing, microprocessors and microcomputers |
19 | Elizabeth Latronico, Philip Koopman |
Design Time Reliability Analysis of Distributed Fault Tolerance Algorithms. |
DSN |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Tanvir Huda, Heinz W. Schmidt, Ian D. Peake |
An Agent Oriented Proactive Fault-Tolerant Framework for Grid Computing. |
e-Science |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Irith Pomeranz, Srikanth Venkataraman, Sudhakar M. Reddy |
Fault Diagnosis and Fault Model Aliasing. |
ISVLSI |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Santosh Biswas, P. Srikanth, R. Jha, Siddhartha Mukhopadhyay, Amit Patra, Dipankar Sarkar 0001 |
On-Line Testing of Digital Circuits for n-Detect and Bridging Fault Models. |
Asian Test Symposium |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Sandip Bapat, Vinodkrishnan Kulathumani, Anish Arora |
Analyzing the Yield of ExScal, a Large-Scale Wireless Sensor Network Experiment. |
ICNP |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Paulo Sousa 0001, Nuno Ferreira Neves, Paulo Veríssimo |
Resilient State Machine Replication. |
PRDC |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Reza Asgary, Karim Mohammadi |
Analog Fault Detection Using a Neuro Fuzzy Pattern Recognition Method. |
ICANN (2) |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Ching-Tien Ho, Larry J. Stockmeyer |
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
performance evaluation, parallel computing, wormhole routing, mesh networks, Fault-tolerant routing |
19 | Jan Lunze, Jochen Schröder |
Sensor and actuator fault diagnosis of systems with discrete inputs and outputs. |
IEEE Trans. Syst. Man Cybern. Part B |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Lars-Ola Damm, Lars Lundberg, Claes Wohlin |
Determining the Improvement Potential of a Software Development Organization Through Fault Analysis: A Method and a Case Study. |
EuroSPI |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Nikhil Joshi, Kaijie Wu 0001, Ramesh Karri |
Concurrent Error Detection Schemes for Involution Ciphers. |
CHES |
2004 |
DBLP DOI BibTeX RDF |
Involutional ciphers, KHAZAD, Fault Tolerance, Concurrent Error Detection (CED) |
19 | Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi, Nohpill Park |
Maximal diagnosis of interconnects of random access memories. |
IEEE Trans. Reliab. |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri, Vincenzo Piuri |
Error Analysis and Detection Procedures for a Hardware Implementation of the Advanced Encryption Standard. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
parity codes, fault tolerance, fault detection, Advanced Encryption Standard, AES |
19 | João Durães, Henrique Madeira |
Definition of Software Fault Emulation Operators: A Field Data Study. |
DSN |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Saravanan Padmanaban, Spyros Tragoudas |
Non-Enumerative Path Delay Fault Diagnosis . |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Yunzhan Gong, Wanli Xu, Xiaowei Li 0001 |
An Expression's Single Fault Model and the Testing Methods. |
Asian Test Symposium |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Michael R. Lyu, Zubin Huang, Sam K. S. Sze, Xia Cai |
An Empirical Study on Testing and Fault Tolerance for Software Reliability Engineering. |
ISSRE |
2003 |
DBLP DOI BibTeX RDF |
data flow coverage testing, empirical study, software fault tolerance, mutation testing |
19 | Vinícius P. Correia, Marcelo Lubaszewski, André Inácio Reis |
SIFU! - A Didactic Stuck-at Fault Simulator. |
MSE |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Pedro Yuste, Juan-Carlos Ruiz-Garcia, Lenin Lemus, Pedro J. Gil |
Non-intrusive Software-Implemented Fault Injection in Embedded Systems. |
LADC |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Rajesh Venkatasubramanian, John P. Hayes, Brian T. Murray |
Low-Cost On-Line Fault Detection Using Control Flow Assertions. |
IOLTS |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Allen P. Nikora, John C. Munson |
Understanding the Nature of Software Evolution. |
ICSM |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Der-Cheng Huang, Wen-Ben Jone |
A parallel transparent BIST method for embedded memory arrays bytolerating redundant operations. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Michiko Inoue, Emil Gizdarski, Hideo Fujiwara |
Sequential Circuits with Combinational Test Generation Complexity under Single-Fault Assumption. |
J. Electron. Test. |
2002 |
DBLP DOI BibTeX RDF |
internally balanced structure, test generation, sequential circuit, combinational circuit, balanced structure |
19 | Scott F. Kaplan, Lyle A. McGeoch, Megan F. Cole |
Adaptive caching for demand prepaging. |
MSP/ISMM |
2002 |
DBLP DOI BibTeX RDF |
block paging, prepaging, clustering, adaptive caching |
19 | Giuliano Antoniol, Lionel C. Briand, Massimiliano Di Penta, Yvan Labiche |
A Case Study Using the Round-Trip Strategy for State-Based Class Testing. |
ISSRE |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Yu-Seung Ma, Yong Rae Kwon, Jeff Offutt |
Inter-Class Mutation Operators for Java. |
ISSRE |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Volkmar Sieh, Kerstin Buchacker |
UMLinux - A Versatile SWIFI Tool. |
EDCC |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Sudhakar M. Reddy, Irith Pomeranz, Huaxing Tang, Seiji Kajihara, Kozo Kinoshita |
On Testing of Interconnect Open Defects in Combinational Logic Circuits with Stems of Large Fanout. |
ITC |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Kamran Zarrineh, Shambhu J. Upadhyaya, Sreejit Chakravarty |
Automatic generation and compaction of March tests for memory arrays. |
IEEE Trans. Very Large Scale Integr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Pankaj Pant, Yuan-Chieh Hsu, Sandeep K. Gupta 0001, Abhijit Chatterjee |
Path delay fault diagnosis in combinational circuits with implicitfault enumeration. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Jun-Weir Lin, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen |
Fault Diagnosis for Linear Analog Circuits. |
J. Electron. Test. |
2001 |
DBLP DOI BibTeX RDF |
diagnosing evaluators, un-powered network, fault diagnosis, signal flow graph |
19 | Seo-Lim Park, Seung-Won Lee, In-Hwan Kim, Ki-Dong Chung |
Server Switching and Flow Control: Supporting Fault Tolerant Playout of Videos in a VoD System. |
ICME |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Irith Pomeranz, Sudhakar M. Reddy |
On synchronizable circuits and their synchronizing sequences. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Jue Wu, Elizabeth M. Rudnick |
Bridge fault diagnosis using stuck-at fault simulation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Jian Liu, Rafic Z. Makki, Ayman I. Kayssi |
Dynamic Power Supply Current Testing of CMOS SRAMs. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
transient power supply current (i DDT), transient current sensor, disturb fault, CMOS SRAM |
19 | Ravishankar K. Iyer, Zbigniew Kalbarczyk, Mahesh Kalyanakrishnan |
Measurement-based Analysis of Networked System Availability. |
Performance Evaluation |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Laurence Goodby, Alex Orailoglu |
Test Quality and Fault Risk in Digital Filter Datapath BIST. |
DATE |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Rostislav Caha, Petr Gregor |
Embedding Fibonacci Cubes into Hypercubes with Omega(2cn) Faulty Nodes. |
MFCS |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Parag K. Lala, Alvernon Walker |
An On-Line Reconfigurable FPGA Architecture. |
DFT |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Hans Hansson, Christer Norström, Sasikumar Punnekkat |
Reliability Modelling of Time-Critical Distributed Systems. |
FTRTFT |
2000 |
DBLP DOI BibTeX RDF |
|
19 | László Kovács, Gábor Terstyánszky |
Boundary Region Sensitive Classification for the Counterpropagation Neural Network. |
IJCNN (1) |
2000 |
DBLP DOI BibTeX RDF |
neural networks, classification, fault diagnosis, uncertainty, learning algorithms |
19 | Timothy K. Tsai, Mei-Chen Hsueh, Hong Zhao, Zbigniew Kalbarczyk, Ravishankar K. Iyer |
Stress-Based and Path-Based Fault Injection. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
stress-based, path-based, program flow, fault tolerance, dependability, Fault injection, workload |
19 | Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich |
Analog testing by characteristic observation inference. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao |
Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. |
IEEE Trans. Software Eng. |
1999 |
DBLP DOI BibTeX RDF |
Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries |
19 | Ronald J. Hayne, Barry W. Johnson |
Behavioral Fault Modeling in a VHDL Synthesis Environment. |
VTS |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Michel Cukier, Ramesh Chandra, David Henke, Jessica Pistole, William H. Sanders |
Fault Injection based on a Partial View of the Global State of a Distributed System. |
SRDS |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Adam A. Porter, Lawrence G. Votta |
Comparing Detection Methods For Software Requirements Inspections: A Replication Using Professional Subjects. |
Empir. Softw. Eng. |
1998 |
DBLP DOI BibTeX RDF |
replication, inspection, controlled experiment |
19 | João Carreira, Henrique Madeira, João Gabriel Silva |
Xception: A Technique for the Experimental Evaluation of Dependability in Modern Computers. |
IEEE Trans. Software Eng. |
1998 |
DBLP DOI BibTeX RDF |
real time, Fault injection, dependability evaluation, RISC processors |
19 | Douglas Chang, Kwang-Ting Cheng, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee |
Functional Scan Chain Testing. |
DATE |
1998 |
DBLP DOI BibTeX RDF |
functional scan chain, alternating sequence, scan chain testing, design for testability, test point insertion |
19 | Michael S. Hsiao, Srimat T. Chakradhar |
Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Jian Liu, Rafic Z. Makki, Ayman I. Kayssi |
Dynamic Power Supply Current Testing of SRAMs. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Kamran Zarrineh, Shambhu J. Upadhyaya, Sreejit Chakravarty |
A new framework for generating optimal March tests for memory arrays. |
ITC |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Hyung Ki Lee, Dong Sam Ha |
HOPE: an efficient parallel fault simulator for synchronous sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
19 | Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal |
Functional test generation for synchronous sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
19 | Naotake Kamiura, Yutaka Hata, Kazuharu Yamato |
On Design of Fail-Safe Cellular Arrays. |
Asian Test Symposium |
1996 |
DBLP DOI BibTeX RDF |
fail-safe logic system, Binary Decision Diagram and switch cell, cellular array |
19 | Ghassan Al Hayek, Chantal Robach |
On the Adequacy of Deriving Hardware Test Data from the Behavioral Specification. |
EUROMICRO |
1996 |
DBLP DOI BibTeX RDF |
hardware test data, behavioral fault modeling, gate-level strategies, high-level fault detection, gate-level fault detection, design automation tools, generated test set, gate-level fault coverage, hardware description languages, hardware description languages, behavioral specification |
19 | S. Crepaux-Motte, Mireille Jacomino, Rene David |
An algebraic method for delay fault testing. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
input values, output function, robustly testable fault, nonrobustly testable fault, weakly verifiable, fault diagnosis, logic testing, delays, timing, Markov processes, random testing, delay fault testing, state transition, input vectors, algebraic method |
19 | Bethany Man-Yee Chan, Francis Y. L. Chin, Chung Keung Poon |
Optimal Simulation of Full Binary Trees on Faulty Hypercubes. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
19 | Cinzia Bernardeschi, Alessandro Fantechi, Luca Simoncini |
Formal Reasoning on Fault Coverage of Fault Tolerant Techniques: A Case Study. |
EDCC |
1994 |
DBLP DOI BibTeX RDF |
|
19 | W. K. Al-Assadi, Yashwant K. Malaiya, Anura P. Jayasumana |
Faulty behavior of storage elements and its effects on sequential circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
1993 |
DBLP DOI BibTeX RDF |
|
19 | Paris C. Kanellakis, Dimitrios Michailidis, Alexander A. Shvartsman |
Controlling Memory Access Concurrency in Efficient Fault-Tolerant Parallel Algorithms (Extended Abstract). |
WDAG |
1993 |
DBLP DOI BibTeX RDF |
|
19 | Antonio Lioy |
Advanced Fault Collapsing (Logic Circuits Testing). |
IEEE Des. Test Comput. |
1992 |
DBLP DOI BibTeX RDF |
|
19 | Michael Demjanenko, Shambhu J. Upadhyaya |
Yield enhancement of field programmable logic arrays by inherent component redundancy. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1990 |
DBLP DOI BibTeX RDF |
|
19 | Danny Dolev, Rüdiger Reischuk, H. Raymond Strong |
Early Stopping in Byzantine Agreement |
J. ACM |
1990 |
DBLP DOI BibTeX RDF |
|
19 | Charles H. Stapper |
Simulation of spatial fault distributions for integrated circuit yield estimations. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1989 |
DBLP DOI BibTeX RDF |
|
19 | A. Jefferson Offutt |
The Coupling Effect: Fact or Fiction. |
Symposium on Testing, Analysis, and Verification |
1989 |
DBLP DOI BibTeX RDF |
|
19 | Irith Pomeranz, Sudhakar M. Reddy |
Identifying Tests for Logic Fault Models Involving Subsets of Lines without Fault Enumeration. |
VLSI Design |
2010 |
DBLP DOI BibTeX RDF |
interconnect open faults, test generation, bridging faults, static test compaction |
19 | Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko |
Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs. |
FPGA |
2005 |
DBLP DOI BibTeX RDF |
FPGA redundancy, interconnect faults, fault tolerance, yield enhancement, interconnect model, yield prediction, catastrophic faults, FPGA interconnect |
19 | M. J. Geuzebroek, J. Th. van der Linden, Ad J. van de Goor |
Test Point Insertion that Facilitates ATPG in Reducing Test Time and Data Volume. |
ITC |
2002 |
DBLP DOI BibTeX RDF |
compact test sets, ATPG, fault coverage, stuck-at faults, test length, Test point insertion, gate-delay faults |
19 | Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas |
Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. |
J. Electron. Test. |
1998 |
DBLP DOI BibTeX RDF |
statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults |
19 | Michael Nicolaidis |
Theory of Transparent BIST for RAMs. |
IEEE Trans. Computers |
1996 |
DBLP DOI BibTeX RDF |
RAM test algorithms, transparent BIST, BIST, signature analysis, pattern sensitive faults, coupling faults |
19 | Irith Pomeranz, Sudhakar M. Reddy |
On Removing Redundancies from Synchronous Sequential Circuits with Synchronizing Sequences. |
IEEE Trans. Computers |
1996 |
DBLP DOI BibTeX RDF |
partially detectable faults, synchronous sequential circuits, redundant faults, redundancy removal, synchronizing sequences, Fault removal |
19 | Daniel J. Kleitman, Frank Thomson Leighton, Yuan Ma |
On the Design of Reliable Boolean Circuits that Contain Partially Unreliable Gates |
FOCS |
1994 |
DBLP DOI BibTeX RDF |
reliable Boolean circuits design, partially unreliable gates, gate failure, short-circuit model, von Neumann model, worst-case faults, post-test alterations, tight bound, random faults, fault-tolerant circuits |
19 | Rohit Kapur, M. Ray Mercer |
Bounding Signal Probabilities for Testability Measurement Using Conditional Syndromes. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
bounding algorithm, circuit faults, conditional syndromes, auxiliary gate, pseudorandom pattern resistant faults, circuit structure, computational complexity, lower bounds, built-in self test, integrated circuit testing, circuit analysis computing, signal probabilities, testability measurement, random pattern testability |
19 | Thyagaraju R. Damarla |
Generalized Transforms for Multiple Valued Circuits and Their Fault Detection. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
generalised transforms, simple transforms, multiple value network, multiple valued circuits, spectral coefficients, VLSI, transforms, fault detection, upper bound, fault location, stuck at faults, many-valued logics, bridging faults, logic circuits, multiple valued functions, test patterns, test set generation, canonical representation, radix |
19 | Xiaoqing Wen, Kozo Kinoshita |
A Testable Design of Logic Circuits under Highly Observable Condition. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
highly observable condition, fault tolerant computing, logic testing, integrated circuit testing, combinational circuit, stuck-at faults, logic circuits, integrated logic circuits, combinatorial circuits, stuck-open faults, testable design |
19 | T. Raju Damarla, Mark G. Karpovsky |
Fault Detection in Combinational Networks by Reed-Muller Transforms. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
single bridging faults, computational complexity, logic testing, test generation, fault detection, time complexity, upper bound, combinatorial circuits, combinational networks, test patterns, Reed-Muller transforms, multiple stuck-at-faults |
19 | Kang G. Shin, Parameswaran Ramanathan |
Transmission Delays in Hardware Clock Synchronization. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
hardware clock synchronization, malicious faults, delays, synchronisation, clocks, Byzantine faults, transmission delays, time overhead, clock signals |
19 | Israel Koren, Zahava Koren, Stephen Y. H. Su |
Analysis of a Class of Recovery Procedures. |
IEEE Trans. Computers |
1986 |
DBLP DOI BibTeX RDF |
program rollback, error recovery procedures, Checkpoint, intermittent faults, permanent faults, instruction retry, error latency |
19 | Dimitris Nikolos, Nikolaos Gaitanis, George Philokyprou |
Systematic t-Error Correcting/All Unidirectional Error Detecting Codes. |
IEEE Trans. Computers |
1986 |
DBLP DOI BibTeX RDF |
parity check codes, t-EC/AUED codes, error detection, error correction, transient faults, unidirectional errors, permanent faults, Concatenated codes, symmetric errors |
|
|