|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3962 occurrences of 1873 keywords
|
|
|
Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
24 | Dilip K. Bhavsar |
Scan Wheel - A Technique for Interfacing a High Speed Scan-Path with a Slow Speed Tester. |
VTS |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Raghavendra Adiga, Gandhi Arpit, Virendra Singh, Kewal K. Saluja, Hideo Fujiwara, Adit D. Singh |
On Minimization of Test Application Time for RAS. |
VLSI Design |
2010 |
DBLP DOI BibTeX RDF |
Random Access Scan (RAS), DFT, Scan Design |
21 | Nick Montfort, Ian Bogost |
Random and Raster: Display Technologies and the Development of Videogames. |
IEEE Ann. Hist. Comput. |
2009 |
DBLP DOI BibTeX RDF |
arcade games, cathode-ray tube displays, random scan displays, raster scan displays, History of computing, videogames, porting, vector graphics |
21 | Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero |
An evolutionary algorithm for reducing integrated-circuit test application time. |
SAC |
2002 |
DBLP DOI BibTeX RDF |
interleaved-scan, test, evolutionary algorithm, computer aided design, full-scan |
21 | Jacob Savir, Robert F. Berry |
AC strength of a pattern generator. |
J. Electron. Test. |
1992 |
DBLP DOI BibTeX RDF |
AC test, level sensitive scan design, test pattern generator, scan path |
21 | Mauro Negri, Giuseppe Pelagatti |
Distributive Join: A New Algorithm for Joining Relations. |
ACM Trans. Database Syst. |
1991 |
DBLP DOI BibTeX RDF |
merging scan, nested scan, sort, hashing, buffer, join |
21 | P. Golan, Ondrej Novák, Jan Hlavicka |
Pseudoexhaustive Test Pattern Generator with Enhanced Fault Coverage. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
pseudoexhaustive test pattern generation, random access scan, scan addresses, logic testing, integrated circuit testing, feedback, linear feedback shift registers, shift registers |
21 | Sophie Stellmach, Lennart E. Nacke, Raimund Dachselt |
Advanced gaze visualizations for three-dimensional virtual environments. |
ETRA |
2010 |
DBLP DOI BibTeX RDF |
attentional maps, gaze visualizations, virtual environments, eye tracking, eye movements, three-dimensional, scan paths |
21 | Irith Pomeranz, Sudhakar M. Reddy |
State persistence: a property for guiding test generation. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
broadside tests, test generation, transition faults, scan-based tests |
21 | Minoru Nakayama, Yosiyuki Takahasi |
Estimation of certainty for responses to multiple-choice questionnaires using eye movements. |
ACM Trans. Multim. Comput. Commun. Appl. |
2008 |
DBLP DOI BibTeX RDF |
scan-path analysis, support vector machines, Eye-movements, certainty |
21 | Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy 0001 |
Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Enhanced scan, Supply gating, Delay fault testing, Two-pattern testing |
21 | Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu |
Controllability of Static CMOS Circuits for Timing Characterization. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Design for test, Delay fault testing, Scan design |
21 | Laung-Terng Wang, Xiaoqing Wen, Shianling Wu, Zhigang Wang, Zhigang Jiang, Boryau Sheu, Xinli Gu |
VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
combinational broadcaster, combinational compactor, fault diagnosis, ATPG, test compression, low-power testing, scan testing |
21 | Yu Cao 0004, Gopal C. Das, Chee Yong Chan, Kian-Lee Tan |
Optimizing complex queries with multiple relation instances. |
SIGMOD Conference |
2008 |
DBLP DOI BibTeX RDF |
interleaved execution, shared scan, query processing, query optimization |
21 | Sushmita Mitra, Bishal Barman |
Rough-Fuzzy Clustering: An Application to Medical Imagery. |
RSKT |
2008 |
DBLP DOI BibTeX RDF |
Rough-fuzzy clustering, CT scan imaging, image segmentation, cluster validation |
21 | Hiroaki Kikuchi, Naoya Fukuno, Tomohiro Kobori, Masato Terada, Tangtisanon Pikulkaew |
Automated Classification of Port-Scans from Distributed Sensors. |
AINA |
2008 |
DBLP DOI BibTeX RDF |
classification, sensor, port-scan |
21 | Jerzy Tyszer, Janusz Rajski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Mark Kassab, Wu-Tung Cheng, Manish Sharma, Liyang Lai |
X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis. |
IEEE Des. Test Comput. |
2007 |
DBLP DOI BibTeX RDF |
on-chip collection of test data, selective compaction of test responses, fault diagnosis, DFT, embedded test, scan-based designs |
21 | Juha Havukumpu, Jukka Häkkinen, Eija Grönroos, Pia Vähäkangas, Göte Nyman |
Comparing Two Head-Mounted Displays in Ultrasound Scanning. |
HCI (2) |
2007 |
DBLP DOI BibTeX RDF |
monocular display, see-through display, ultrasound scan, user experience, Head-mounted displays |
21 | Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer |
Test response compactor with programmable selector. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
scan chain selection, unknown states, compression, VLSI test |
21 | Scott Davidson 0001 |
BIST the hard way. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
N-detection, scan BIST, built-in self-test, logic, IC, mixed-signal BIST |
21 | Mário Zenha Rela, João Carlos Cunha, Carlos Bruno Silva, Luís Ferreira da Silva |
On the Effects of Errors During Boot. |
LADC |
2005 |
DBLP DOI BibTeX RDF |
fault-tolerance, embedded systems, fault-injection, boundary-scan, dependability evaluation |
21 | Kaustav Das, Andrew W. Moore 0001, Jeff G. Schneider |
Belief state approaches to signaling alarms in surveillance systems. |
KDD |
2004 |
DBLP DOI BibTeX RDF |
scan statistic, signaling alarms, probabilistic model, surveillance systems |
21 | Vijay S. Iyengar |
On detecting space-time clusters. |
KDD |
2004 |
DBLP DOI BibTeX RDF |
space-time region, clusters, search, Monte Carlo, spatial scan statistic |
21 | Frank te Beest, Kees van Berkel 0001, Ad M. G. Peeters |
Adding Synchronous and LSSD Modes to Asynchronous Circuits. |
ASYNC |
2002 |
DBLP DOI BibTeX RDF |
design for testability, asynchronous circuits, scan test, LSSD |
21 | Sergei Gorlatch |
Toward Formally-Based Design of Message Passing Programs. |
IEEE Trans. Software Eng. |
2000 |
DBLP DOI BibTeX RDF |
systematic program design, maximum segment sum, MPI, Message passing, program transformations, skeletons, reduction, scan, homomorphisms, collective operations |
21 | Wu-Tung Cheng |
Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics |
21 | Marie-Lise Flottes, Christian Landrault, A. Petitqueux |
Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset |
21 | Ella Barkan, Dan Gordon 0001 |
The scanline principle: efficient conversion of display algorithms into scanline mode. |
Vis. Comput. |
1999 |
DBLP DOI BibTeX RDF |
Critical-points, BSP trees, Z-buffer, Hidden surface removal, Scan conversion, Scanline |
21 | Feng Lu 0001, Evangelos E. Milios |
Robot Pose Estimation in Unknown Environments by Matching 2D Range Scans. |
J. Intell. Robotic Syst. |
1997 |
DBLP DOI BibTeX RDF |
robot pose estimation, aligning scans, rotation search, reference scan |
21 | D. B. Hillis |
Using a Genetic Algorithm for Multi-Hypothesis Tracking. |
ICTAI |
1997 |
DBLP DOI BibTeX RDF |
multi-hypothesis tracking, multi-scan assignment problem, continuous mode, genetic algorithm, target tracking, fitness landscape, scheduling problem, greedy heuristic, multitarget tracking, hypotheses |
21 | Claudio Costi, Micaela Serra, Donatella Sciuto |
A new DFT methodology for sequential circuits. |
J. Electron. Test. |
1995 |
DBLP DOI BibTeX RDF |
design for testability, ATPG, fault coverage, scan design, test application time |
21 | Sujit Dey, Srimat T. Chakradhar |
Design of testable sequential circuits by repositioning flip-flops. |
J. Electron. Test. |
1995 |
DBLP DOI BibTeX RDF |
cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault |
21 | Hakim Bederr, Michael Nicolaidis, Alain Guyot |
Analytic approach for error masking elimination in on-line multipliers. |
IEEE Symposium on Computer Arithmetic |
1995 |
DBLP DOI BibTeX RDF |
error masking elimination, online multipliers, high precision numbers, scan design approach, internal state observability, DFT approach, sequential circuits, digital arithmetic, fault coverage, multiplying circuits, area overhead |
21 | Nadime Zacharia, Janusz Rajski, Jerzy Tyszer |
Decompression of test data using variable-length seed LFSRs. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
test data decompression, variable-length seed LFSRs, deterministic test vectors, scan circuits, multiple polynomial LFSR, encoding efficiency, logic testing, built-in self test, integrated circuit testing, encoding, automatic testing, polynomials, linear feedback shift register, shift registers, modular design, digital integrated circuits |
21 | Ling Tony Chen, Larry S. Davis, Clyde P. Kruskal |
Efficient Parallel Processing of Image Contours. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1993 |
DBLP DOI BibTeX RDF |
EREW model, CREW PRAM model, segmented scan operations, computer vision, computer vision, parallel algorithms, parallel algorithms, computational complexity, image processing, parallel processing, parallel processing, hypercube, hypercube networks, image contours, Connection Machine |
20 | Jinliang Li, Jihua Bao, Yan Yu |
Study on the Localization for a Rescue Robot Based on Laser Scan Matching. |
MVHI |
2010 |
DBLP DOI BibTeX RDF |
Scan match-ing, Normal distribution transform, Robot localization, Rescue robots |
20 | Zhen Chen, Sharad C. Seth, Dong Xiang, Bhargab B. Bhattacharya |
A Unified Solution to Scan Test Volume, Time, and Power Minimization. |
VLSI Design |
2010 |
DBLP DOI BibTeX RDF |
Test power minimization, Test time reduction, Test data reduction, Nonlinear scan |
20 | Siddhartha Datta, Ron Sass |
Scalability Studies of the BLASTn Scan and Ungapped Extension Functions. |
ReConFig |
2009 |
DBLP DOI BibTeX RDF |
Ungapped Extension, FPGA, Reconfiguration, mathematical model, Scan, BLAST |
20 | Yu Zhang 0036, Binxing Fang |
A Novel Approach to Scan Detection on the Backbone. |
ITNG |
2009 |
DBLP DOI BibTeX RDF |
Real time port scan detection, Flow size distribution entropy, Sequential hypothesis testing, IP backbone monitoring, Port scanning |
20 | Xiaoguang Tian, Yuke Ma, Xiaorong Hou |
A New Scan-Line Algorithm Using Clustering Approach. |
HIS (2) |
2009 |
DBLP DOI BibTeX RDF |
scan-line algorithm, recognition of the lines, recovery of the lines, clustering algorithm, particle swarm optimization (PSO) |
20 | Xiaoqing Wen, Kohei Miyase, Seiji Kajihara, Hiroshi Furukawa, Yuta Yamato, Atsushi Takashima, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Kewal K. Saluja |
A Capture-Safe Test Generation Scheme for At-Speed Scan Testing. |
ETS |
2008 |
DBLP DOI BibTeX RDF |
At-Speed Scan Testing, Test Relaxation, X-Filling, Capture Mode, Yield Loss |
20 | Seongmoon Wang, Wenlong Wei |
Low Overhead Partial Enhanced Scan Technique for Compact and High Fault Coverage Transition Delay Test Patterns. |
ETS |
2008 |
DBLP DOI BibTeX RDF |
Transition delay fault, broadside, skewed-load, enhanced scan |
20 | Irith Pomeranz, Sudhakar M. Reddy |
On Generating Tests that Avoid the Detection of Redundant Faults in Synchronous Sequential Circuits with Full Scan. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
overtesting, test generation, Design-for-testability, synchronous sequential circuits, redundant faults, full-scan, fault dominance |
20 | Octavian Petre, Hans G. Kerkhoff |
Scan Test Strategy for Asynchronous-Synchronous Interfaces. |
J. Electron. Test. |
2004 |
DBLP DOI BibTeX RDF |
globally asynchronous locally synchronous (GALS), asynchronous synchronous interface, synchronizers, scan test |
20 | Irith Pomeranz |
On the generation of scan-based test sets with reachable states for testing under functional operation conditions. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
functional tests, scan design, reachable states |
20 | John Jing-Fu Jenq |
Parallel Polygon Scan Conversion on Hypercube Multiprocessors. |
SAC |
1999 |
DBLP DOI BibTeX RDF |
polygon scan conversion, parallel algorithms, computer graphics, hypercube algorithms |
20 | Sei-ichiro Kamata, Yukihiro Bandoh |
An Address Generator of a Pseudo-Hilbert Scan in a Rectangle Region. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
pseudo-Hilbert scan, rectangle region, space filing curves, nonrecursive algorithm, image processing, image compression, experimental results, hardware implementation, lookup tables, Hilbert spaces, Hilbert curve, address generator |
20 | Phil Nigh, Wayne M. Needham, Kenneth M. Butler, Peter C. Maxwell, Robert C. Aitken |
An experimental study comparing the relative effectiveness of functional, scan, IDDq and delay-fault testing. |
VTS |
1997 |
DBLP DOI BibTeX RDF |
semiconductor testing, stuck-fault testing, ASIC device, application specific integrated circuits, functional testing, IDDQ testing, delay-fault testing, scan testing |
20 | Prashant J. Shenoy, Harrick M. Vin |
Efficient Support for Scan Operations in Video Servers. |
ACM Multimedia |
1995 |
DBLP DOI BibTeX RDF |
video servers, disk arrays, scan operations |
20 | Allan L. Fisher, Peter T. Highnam |
Computing the Hough Transform on a Scan Line Array Processor (Image Processing). |
IEEE Trans. Pattern Anal. Mach. Intell. |
1989 |
DBLP DOI BibTeX RDF |
scan line array processor, parallel algorithm, parallel algorithms, image processing, transforms, computerised picture processing, computerised picture processing, SIMD, Hough transform, digital signal processing chips, linear arrays, vector processing |
20 | Kewal K. Saluja, Ramaswami Dandapani |
An Alternative to Scan Design Methods for Sequential Machines. |
IEEE Trans. Computers |
1986 |
DBLP DOI BibTeX RDF |
Built-in self test, sequential machines, scan design, checking experiments, testable design |
20 | Alodeep Sanyal, Kunal P. Ganeshpure, Sandip Kundu |
An Improved Soft-Error Rate Measurement Technique. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Peder E. Z. Larson, Paul T. Gurney, Dwight G. Nishimura |
Anisotropic Field-of-Views in Radial Imaging. |
IEEE Trans. Medical Imaging |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Daniel Flores-Tapia, Gabriel Thomas, Stephen Pistorius |
A Wavefront Reconstruction Method for 3-D Cylindrical Subsurface Radar Imaging. |
IEEE Trans. Image Process. |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Tian Chen, Huaguo Liang, Minsheng Zhang, Wei Wang 0310 |
A Scheme of Test Pattern Generation Based on Reseeding of Segment-Fixing Counter. |
ICYCS |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Sam S. Stone, Justin P. Haldar, Stephanie C. Tsao, Wen-mei W. Hwu, Zhi-Pei Liang, Bradley P. Sutton |
Accelerating advanced mri reconstructions on gpus. |
Conf. Computing Frontiers |
2008 |
DBLP DOI BibTeX RDF |
gpu computing, mri, reconstruction, gpgpu, cuda |
20 | Uvais Qidwai, Mohammed Maqbool |
On hybrid-fuzzy classifier design: An empirical modeling scenario for corrosion detection in gas pipelines. |
AICCSA |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Inas Khalifa, Rodney G. Vaughan |
Optimal Configuration of Multi-Faceted Phased Arrays for Wide Angle Coverage. |
VTC Spring |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Ran Gal, Ariel Shamir, Tal Hassner, Mark Pauly, Daniel Cohen-Or |
Surface reconstruction using local shape priors. |
Symposium on Geometry Processing |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Rubil Ahmadi |
A Hold Friendly Flip-Flop For Area Recovery. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi |
Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. |
DFT |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Kedarnath J. Balakrishnan, Nur A. Touba |
Improving Linear Test Data Compression. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Renato Villán, Sviatoslav Voloshynovskiy, Oleksiy J. Koval, Thierry Pun |
Multilevel 2-D Bar Codes: Toward High-Capacity Storage Modules for Multimedia Security and Management. |
IEEE Trans. Inf. Forensics Secur. |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Ying Zhang 0001, Xuemin Lin 0001, Jian Xu, Flip Korn, Wei Wang 0011 |
Space-efficient Relative Error Order Sketch over Data Streams. |
ICDE |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Jie Don, Yu Hu 0001, Yinhe Han 0001, Xiaowei Li 0001 |
An on-chip combinational decompressor for reducing test data volume. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Yi-Min Wang, Doug Beck, Binh Vo, Roussi Roussev, Chad Verbowski |
Detecting Stealth Software with Strider GhostBuster. |
DSN |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Samuel S. Gross, Michael R. Brent |
Using Multiple Alignments to Improve Gene Prediction. |
RECOMB |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 |
A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Frank te Beest, Ad M. G. Peeters |
A Multiplexor Based Test Method for Self-Timed Circuits. |
ASYNC |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Narendra Devta-Prasanna, Sudhakar M. Reddy, Arun Gunda, P. Krishnamurthy, Irith Pomeranz |
Improved Delay Fault Coverage Using Subsets of Flip-flops to Launch Transitions. |
Asian Test Symposium |
2005 |
DBLP DOI BibTeX RDF |
|
20 | DongSup Song, Sungho Kang |
Increasing Embedding Probabilities of RPRPs in RIN Based BIST. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Irith Pomeranz |
Constrained test generation for embedded synchronous sequential circuits with serial-input access. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Kuen-Jong Lee, Shaing-Jer Hsu, Chia-Ming Ho |
Test Power Reduction with Multiple Capture Orders. |
Asian Test Symposium |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Yu Zhang 0075, Terence Sim, Chew Lim Tan |
Adaptation-Based Individualized Face Modeling for Animation Using Displacement Map. |
Computer Graphics International |
2004 |
DBLP DOI BibTeX RDF |
Individualized Face Modeling and Animation, Face Adaptation, Displacement Map |
20 | Dimitrios Katsoulas, Andreas Werber |
Edge Detection in Range Images of Piled Box-like Objects. |
ICPR (2) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Jan Van den Bussche |
Finite Cursor Machines in Database Query Processing. |
Abstract State Machines |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Peter Wohl, John A. Waicukauski, Sanjay Patel |
Scalable selector architecture for x-tolerant deterministic BIST. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
test-generation (ATPG), test-data compression |
20 | Jih-Jeen Chen, Chia-Kai Yang, Kuen-Jong Lee |
Test pattern generation and clock disabling for simultaneous test time and power reduction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Nisar Ahmed, Mohammad H. Tehranipour, Mehrdad Nourani |
Extending JTAG for Testing Signal Integrity in SoCs. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Xiaoding Chen, Michael S. Hsiao |
Energy-Efficient Logic BIST Based on State Correlation Analysis. |
VTS |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Atlaf Ul Amin, Satoshi Ohtake, Hideo Fujiwara |
Design for Two-Pattern Testability of Controller-Data Path Circuits. |
Asian Test Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Y. J. Song, R. Z. Liu, T. N. Tan |
Digital Watermarking for Forgery Detection in Printed Materials. |
IEEE Pacific Rim Conference on Multimedia |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Irith Pomeranz, Sudhakar M. Reddy |
On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. |
IEEE Trans. Computers |
2000 |
DBLP DOI BibTeX RDF |
irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states |
20 | Hyunseung Choo, Seong-Moo Yoo, Hee Yong Youn |
Processor Scheduling and Allocation for 3D Torus Multicomputer Systems. |
IEEE Trans. Parallel Distributed Syst. |
2000 |
DBLP DOI BibTeX RDF |
Best-fit and first-fit approach, processor scheduling and allocation, 3D torus, multicomputer |
20 | Douglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng |
A Test Synthesis Approach to Reducing BALLAST DFT Overhead. |
DAC |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Hans-Joachim Wunderlich, Gundolf Kiefer |
Bit-flipping BIST. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
mixed-model BIST |
20 | Arun Balakrishnan, Srimat T. Chakradhar |
Sequential Circuits with combinational Test Generation Complexity. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
|
20 | Chung-Hsing Chen, Tanay Karnik, Daniel G. Saab |
Structural and behavioral synthesis for testability techniques. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Vivek Chickermane, Jaushin Lee, Janak H. Patel |
Addressing design for testability at the architectural level. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Arun N. Swami, K. Bernhard Schiefer |
Estimating Page Fetches for Index Scans with Finite LRU Buffers. |
SIGMOD Conference |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Mehrdad Soumekh |
Array imaging with beam-steered data. |
IEEE Trans. Image Process. |
1992 |
DBLP DOI BibTeX RDF |
|
20 | Cynthia Dwork, Maurice Herlihy, Serge A. Plotkin, Orli Waarts |
Time-Lapse Snapshots. |
ISTCS |
1992 |
DBLP DOI BibTeX RDF |
|
20 | Anthony C. Barkans |
High speed high quality antialiased vector generation. |
SIGGRAPH |
1990 |
DBLP DOI BibTeX RDF |
|
20 | Chih-Wei Yi |
A Unified Analytic Framework Based on Minimum Scan Statistics for Wireless Ad Hoc and Sensor Networks. |
IEEE Trans. Parallel Distributed Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Edwin B. Olson |
Real-time correlative scan matching. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Tomohito Takubo, Takuya Kaminade, Yasushi Mae, Kenichi Ohara, Tatsuo Arai |
NDT scan matching method for high resolution grid map. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Yucai Wang, Guangyong Li, Lianqing Liu |
Local scan for compensation of drift contamination in AFM based nanomanipulation. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
20 | M. Salah E.-N. Shafik, Bärbel Mertsching |
Real-Time Scan-Line Segment Based Stereo Vision for the Estimation of Biologically Motivated Classifier Cells. |
KI |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Jun Xu, Xiangku Li |
Efficient Physical Design Methodology for Reducing Test Power Dissipation of Scan-Based Designs. |
NAS |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Frank Dennerlein, Frédéric Noo, H. Schondube, Günter Lauritsch, Joachim Hornegger |
A Factorization Approach for Cone-Beam Reconstruction on a Circular Short-Scan. |
IEEE Trans. Medical Imaging |
2008 |
DBLP DOI BibTeX RDF |
|
|
|