The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Dilip K. Bhavsar Scan Wheel - A Technique for Interfacing a High Speed Scan-Path with a Slow Speed Tester. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Raghavendra Adiga, Gandhi Arpit, Virendra Singh, Kewal K. Saluja, Hideo Fujiwara, Adit D. Singh On Minimization of Test Application Time for RAS. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Random Access Scan (RAS), DFT, Scan Design
21Nick Montfort, Ian Bogost Random and Raster: Display Technologies and the Development of Videogames. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF arcade games, cathode-ray tube displays, random scan displays, raster scan displays, History of computing, videogames, porting, vector graphics
21Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero An evolutionary algorithm for reducing integrated-circuit test application time. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interleaved-scan, test, evolutionary algorithm, computer aided design, full-scan
21Jacob Savir, Robert F. Berry AC strength of a pattern generator. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF AC test, level sensitive scan design, test pattern generator, scan path
21Mauro Negri, Giuseppe Pelagatti Distributive Join: A New Algorithm for Joining Relations. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF merging scan, nested scan, sort, hashing, buffer, join
21P. Golan, Ondrej Novák, Jan Hlavicka Pseudoexhaustive Test Pattern Generator with Enhanced Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF pseudoexhaustive test pattern generation, random access scan, scan addresses, logic testing, integrated circuit testing, feedback, linear feedback shift registers, shift registers
21Sophie Stellmach, Lennart E. Nacke, Raimund Dachselt Advanced gaze visualizations for three-dimensional virtual environments. Search on Bibsonomy ETRA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF attentional maps, gaze visualizations, virtual environments, eye tracking, eye movements, three-dimensional, scan paths
21Irith Pomeranz, Sudhakar M. Reddy State persistence: a property for guiding test generation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF broadside tests, test generation, transition faults, scan-based tests
21Minoru Nakayama, Yosiyuki Takahasi Estimation of certainty for responses to multiple-choice questionnaires using eye movements. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan-path analysis, support vector machines, Eye-movements, certainty
21Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy 0001 Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Enhanced scan, Supply gating, Delay fault testing, Two-pattern testing
21Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu Controllability of Static CMOS Circuits for Timing Characterization. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design for test, Delay fault testing, Scan design
21Laung-Terng Wang, Xiaoqing Wen, Shianling Wu, Zhigang Wang, Zhigang Jiang, Boryau Sheu, Xinli Gu VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF combinational broadcaster, combinational compactor, fault diagnosis, ATPG, test compression, low-power testing, scan testing
21Yu Cao 0004, Gopal C. Das, Chee Yong Chan, Kian-Lee Tan Optimizing complex queries with multiple relation instances. Search on Bibsonomy SIGMOD Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interleaved execution, shared scan, query processing, query optimization
21Sushmita Mitra, Bishal Barman Rough-Fuzzy Clustering: An Application to Medical Imagery. Search on Bibsonomy RSKT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Rough-fuzzy clustering, CT scan imaging, image segmentation, cluster validation
21Hiroaki Kikuchi, Naoya Fukuno, Tomohiro Kobori, Masato Terada, Tangtisanon Pikulkaew Automated Classification of Port-Scans from Distributed Sensors. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF classification, sensor, port-scan
21Jerzy Tyszer, Janusz Rajski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Mark Kassab, Wu-Tung Cheng, Manish Sharma, Liyang Lai X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on-chip collection of test data, selective compaction of test responses, fault diagnosis, DFT, embedded test, scan-based designs
21Juha Havukumpu, Jukka Häkkinen, Eija Grönroos, Pia Vähäkangas, Göte Nyman Comparing Two Head-Mounted Displays in Ultrasound Scanning. Search on Bibsonomy HCI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF monocular display, see-through display, ultrasound scan, user experience, Head-mounted displays
21Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer Test response compactor with programmable selector. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scan chain selection, unknown states, compression, VLSI test
21Scott Davidson 0001 BIST the hard way. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF N-detection, scan BIST, built-in self-test, logic, IC, mixed-signal BIST
21Mário Zenha Rela, João Carlos Cunha, Carlos Bruno Silva, Luís Ferreira da Silva On the Effects of Errors During Boot. Search on Bibsonomy LADC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerance, embedded systems, fault-injection, boundary-scan, dependability evaluation
21Kaustav Das, Andrew W. Moore 0001, Jeff G. Schneider Belief state approaches to signaling alarms in surveillance systems. Search on Bibsonomy KDD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scan statistic, signaling alarms, probabilistic model, surveillance systems
21Vijay S. Iyengar On detecting space-time clusters. Search on Bibsonomy KDD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF space-time region, clusters, search, Monte Carlo, spatial scan statistic
21Frank te Beest, Kees van Berkel 0001, Ad M. G. Peeters Adding Synchronous and LSSD Modes to Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF design for testability, asynchronous circuits, scan test, LSSD
21Sergei Gorlatch Toward Formally-Based Design of Message Passing Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF systematic program design, maximum segment sum, MPI, Message passing, program transformations, skeletons, reduction, scan, homomorphisms, collective operations
21Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
21Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
21Ella Barkan, Dan Gordon 0001 The scanline principle: efficient conversion of display algorithms into scanline mode. Search on Bibsonomy Vis. Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Critical-points, BSP trees, Z-buffer, Hidden surface removal, Scan conversion, Scanline
21Feng Lu 0001, Evangelos E. Milios Robot Pose Estimation in Unknown Environments by Matching 2D Range Scans. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robot pose estimation, aligning scans, rotation search, reference scan
21D. B. Hillis Using a Genetic Algorithm for Multi-Hypothesis Tracking. Search on Bibsonomy ICTAI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multi-hypothesis tracking, multi-scan assignment problem, continuous mode, genetic algorithm, target tracking, fitness landscape, scheduling problem, greedy heuristic, multitarget tracking, hypotheses
21Claudio Costi, Micaela Serra, Donatella Sciuto A new DFT methodology for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design for testability, ATPG, fault coverage, scan design, test application time
21Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
21Hakim Bederr, Michael Nicolaidis, Alain Guyot Analytic approach for error masking elimination in on-line multipliers. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF error masking elimination, online multipliers, high precision numbers, scan design approach, internal state observability, DFT approach, sequential circuits, digital arithmetic, fault coverage, multiplying circuits, area overhead
21Nadime Zacharia, Janusz Rajski, Jerzy Tyszer Decompression of test data using variable-length seed LFSRs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test data decompression, variable-length seed LFSRs, deterministic test vectors, scan circuits, multiple polynomial LFSR, encoding efficiency, logic testing, built-in self test, integrated circuit testing, encoding, automatic testing, polynomials, linear feedback shift register, shift registers, modular design, digital integrated circuits
21Ling Tony Chen, Larry S. Davis, Clyde P. Kruskal Efficient Parallel Processing of Image Contours. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF EREW model, CREW PRAM model, segmented scan operations, computer vision, computer vision, parallel algorithms, parallel algorithms, computational complexity, image processing, parallel processing, parallel processing, hypercube, hypercube networks, image contours, Connection Machine
20Jinliang Li, Jihua Bao, Yan Yu Study on the Localization for a Rescue Robot Based on Laser Scan Matching. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Scan match-ing, Normal distribution transform, Robot localization, Rescue robots
20Zhen Chen, Sharad C. Seth, Dong Xiang, Bhargab B. Bhattacharya A Unified Solution to Scan Test Volume, Time, and Power Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Test power minimization, Test time reduction, Test data reduction, Nonlinear scan
20Siddhartha Datta, Ron Sass Scalability Studies of the BLASTn Scan and Ungapped Extension Functions. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ungapped Extension, FPGA, Reconfiguration, mathematical model, Scan, BLAST
20Yu Zhang 0036, Binxing Fang A Novel Approach to Scan Detection on the Backbone. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Real time port scan detection, Flow size distribution entropy, Sequential hypothesis testing, IP backbone monitoring, Port scanning
20Xiaoguang Tian, Yuke Ma, Xiaorong Hou A New Scan-Line Algorithm Using Clustering Approach. Search on Bibsonomy HIS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan-line algorithm, recognition of the lines, recovery of the lines, clustering algorithm, particle swarm optimization (PSO)
20Xiaoqing Wen, Kohei Miyase, Seiji Kajihara, Hiroshi Furukawa, Yuta Yamato, Atsushi Takashima, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Kewal K. Saluja A Capture-Safe Test Generation Scheme for At-Speed Scan Testing. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF At-Speed Scan Testing, Test Relaxation, X-Filling, Capture Mode, Yield Loss
20Seongmoon Wang, Wenlong Wei Low Overhead Partial Enhanced Scan Technique for Compact and High Fault Coverage Transition Delay Test Patterns. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Transition delay fault, broadside, skewed-load, enhanced scan
20Irith Pomeranz, Sudhakar M. Reddy On Generating Tests that Avoid the Detection of Redundant Faults in Synchronous Sequential Circuits with Full Scan. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF overtesting, test generation, Design-for-testability, synchronous sequential circuits, redundant faults, full-scan, fault dominance
20Octavian Petre, Hans G. Kerkhoff Scan Test Strategy for Asynchronous-Synchronous Interfaces. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (GALS), asynchronous synchronous interface, synchronizers, scan test
20Irith Pomeranz On the generation of scan-based test sets with reachable states for testing under functional operation conditions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF functional tests, scan design, reachable states
20John Jing-Fu Jenq Parallel Polygon Scan Conversion on Hypercube Multiprocessors. Search on Bibsonomy SAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF polygon scan conversion, parallel algorithms, computer graphics, hypercube algorithms
20Sei-ichiro Kamata, Yukihiro Bandoh An Address Generator of a Pseudo-Hilbert Scan in a Rectangle Region. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pseudo-Hilbert scan, rectangle region, space filing curves, nonrecursive algorithm, image processing, image compression, experimental results, hardware implementation, lookup tables, Hilbert spaces, Hilbert curve, address generator
20Phil Nigh, Wayne M. Needham, Kenneth M. Butler, Peter C. Maxwell, Robert C. Aitken An experimental study comparing the relative effectiveness of functional, scan, IDDq and delay-fault testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF semiconductor testing, stuck-fault testing, ASIC device, application specific integrated circuits, functional testing, IDDQ testing, delay-fault testing, scan testing
20Prashant J. Shenoy, Harrick M. Vin Efficient Support for Scan Operations in Video Servers. Search on Bibsonomy ACM Multimedia The full citation details ... 1995 DBLP  DOI  BibTeX  RDF video servers, disk arrays, scan operations
20Allan L. Fisher, Peter T. Highnam Computing the Hough Transform on a Scan Line Array Processor (Image Processing). Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF scan line array processor, parallel algorithm, parallel algorithms, image processing, transforms, computerised picture processing, computerised picture processing, SIMD, Hough transform, digital signal processing chips, linear arrays, vector processing
20Kewal K. Saluja, Ramaswami Dandapani An Alternative to Scan Design Methods for Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Built-in self test, sequential machines, scan design, checking experiments, testable design
20Alodeep Sanyal, Kunal P. Ganeshpure, Sandip Kundu An Improved Soft-Error Rate Measurement Technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Peder E. Z. Larson, Paul T. Gurney, Dwight G. Nishimura Anisotropic Field-of-Views in Radial Imaging. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Daniel Flores-Tapia, Gabriel Thomas, Stephen Pistorius A Wavefront Reconstruction Method for 3-D Cylindrical Subsurface Radar Imaging. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Tian Chen, Huaguo Liang, Minsheng Zhang, Wei Wang 0310 A Scheme of Test Pattern Generation Based on Reseeding of Segment-Fixing Counter. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Sam S. Stone, Justin P. Haldar, Stephanie C. Tsao, Wen-mei W. Hwu, Zhi-Pei Liang, Bradley P. Sutton Accelerating advanced mri reconstructions on gpus. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gpu computing, mri, reconstruction, gpgpu, cuda
20Uvais Qidwai, Mohammed Maqbool On hybrid-fuzzy classifier design: An empirical modeling scenario for corrosion detection in gas pipelines. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Inas Khalifa, Rodney G. Vaughan Optimal Configuration of Multi-Faceted Phased Arrays for Wide Angle Coverage. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ran Gal, Ariel Shamir, Tal Hassner, Mark Pauly, Daniel Cohen-Or Surface reconstruction using local shape priors. Search on Bibsonomy Symposium on Geometry Processing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Rubil Ahmadi A Hold Friendly Flip-Flop For Area Recovery. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kedarnath J. Balakrishnan, Nur A. Touba Improving Linear Test Data Compression. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Renato Villán, Sviatoslav Voloshynovskiy, Oleksiy J. Koval, Thierry Pun Multilevel 2-D Bar Codes: Toward High-Capacity Storage Modules for Multimedia Security and Management. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ying Zhang 0001, Xuemin Lin 0001, Jian Xu, Flip Korn, Wei Wang 0011 Space-efficient Relative Error Order Sketch over Data Streams. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jie Don, Yu Hu 0001, Yinhe Han 0001, Xiaowei Li 0001 An on-chip combinational decompressor for reducing test data volume. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Yi-Min Wang, Doug Beck, Binh Vo, Roussi Roussev, Chad Verbowski Detecting Stealth Software with Strider GhostBuster. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Samuel S. Gross, Michael R. Brent Using Multiple Alignments to Improve Gene Prediction. Search on Bibsonomy RECOMB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Frank te Beest, Ad M. G. Peeters A Multiplexor Based Test Method for Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Narendra Devta-Prasanna, Sudhakar M. Reddy, Arun Gunda, P. Krishnamurthy, Irith Pomeranz Improved Delay Fault Coverage Using Subsets of Flip-flops to Launch Transitions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20DongSup Song, Sungho Kang Increasing Embedding Probabilities of RPRPs in RIN Based BIST. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Irith Pomeranz Constrained test generation for embedded synchronous sequential circuits with serial-input access. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Kuen-Jong Lee, Shaing-Jer Hsu, Chia-Ming Ho Test Power Reduction with Multiple Capture Orders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Yu Zhang 0075, Terence Sim, Chew Lim Tan Adaptation-Based Individualized Face Modeling for Animation Using Displacement Map. Search on Bibsonomy Computer Graphics International The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Individualized Face Modeling and Animation, Face Adaptation, Displacement Map
20Dimitrios Katsoulas, Andreas Werber Edge Detection in Range Images of Piled Box-like Objects. Search on Bibsonomy ICPR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Jan Van den Bussche Finite Cursor Machines in Database Query Processing. Search on Bibsonomy Abstract State Machines The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Peter Wohl, John A. Waicukauski, Sanjay Patel Scalable selector architecture for x-tolerant deterministic BIST. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-generation (ATPG), test-data compression
20Jih-Jeen Chen, Chia-Kai Yang, Kuen-Jong Lee Test pattern generation and clock disabling for simultaneous test time and power reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Nisar Ahmed, Mohammad H. Tehranipour, Mehrdad Nourani Extending JTAG for Testing Signal Integrity in SoCs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Xiaoding Chen, Michael S. Hsiao Energy-Efficient Logic BIST Based on State Correlation Analysis. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Atlaf Ul Amin, Satoshi Ohtake, Hideo Fujiwara Design for Two-Pattern Testability of Controller-Data Path Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Y. J. Song, R. Z. Liu, T. N. Tan Digital Watermarking for Forgery Detection in Printed Materials. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
20Hyunseung Choo, Seong-Moo Yoo, Hee Yong Youn Processor Scheduling and Allocation for 3D Torus Multicomputer Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Best-fit and first-fit approach, processor scheduling and allocation, 3D torus, multicomputer
20Douglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng A Test Synthesis Approach to Reducing BALLAST DFT Overhead. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Hans-Joachim Wunderlich, Gundolf Kiefer Bit-flipping BIST. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-model BIST
20Arun Balakrishnan, Srimat T. Chakradhar Sequential Circuits with combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Chung-Hsing Chen, Tanay Karnik, Daniel G. Saab Structural and behavioral synthesis for testability techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Vivek Chickermane, Jaushin Lee, Janak H. Patel Addressing design for testability at the architectural level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Arun N. Swami, K. Bernhard Schiefer Estimating Page Fetches for Index Scans with Finite LRU Buffers. Search on Bibsonomy SIGMOD Conference The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Mehrdad Soumekh Array imaging with beam-steered data. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Cynthia Dwork, Maurice Herlihy, Serge A. Plotkin, Orli Waarts Time-Lapse Snapshots. Search on Bibsonomy ISTCS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Anthony C. Barkans High speed high quality antialiased vector generation. Search on Bibsonomy SIGGRAPH The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Chih-Wei Yi A Unified Analytic Framework Based on Minimum Scan Statistics for Wireless Ad Hoc and Sensor Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Edwin B. Olson Real-time correlative scan matching. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Tomohito Takubo, Takuya Kaminade, Yasushi Mae, Kenichi Ohara, Tatsuo Arai NDT scan matching method for high resolution grid map. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Yucai Wang, Guangyong Li, Lianqing Liu Local scan for compensation of drift contamination in AFM based nanomanipulation. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20M. Salah E.-N. Shafik, Bärbel Mertsching Real-Time Scan-Line Segment Based Stereo Vision for the Estimation of Biologically Motivated Classifier Cells. Search on Bibsonomy KI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Jun Xu, Xiangku Li Efficient Physical Design Methodology for Reducing Test Power Dissipation of Scan-Based Designs. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Frank Dennerlein, Frédéric Noo, H. Schondube, Günter Lauritsch, Joachim Hornegger A Factorization Approach for Cone-Beam Reconstruction on a Circular Short-Scan. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license