The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fault with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1967 (15) 1968-1970 (24) 1971 (25) 1972 (20) 1973 (19) 1974 (30) 1975 (45) 1976 (37) 1977 (36) 1978 (56) 1979 (27) 1980 (52) 1981 (46) 1982 (52) 1983 (69) 1984 (110) 1985 (104) 1986 (168) 1987 (170) 1988 (328) 1989 (334) 1990 (422) 1991 (430) 1992 (450) 1993 (555) 1994 (615) 1995 (696) 1996 (734) 1997 (720) 1998 (741) 1999 (911) 2000 (1031) 2001 (1007) 2002 (1115) 2003 (1336) 2004 (1496) 2005 (1747) 2006 (2017) 2007 (2111) 2008 (2018) 2009 (1766) 2010 (1426) 2011 (1436) 2012 (1471) 2013 (1626) 2014 (1595) 2015 (1839) 2016 (1761) 2017 (1936) 2018 (2301) 2019 (2809) 2020 (2718) 2021 (3159) 2022 (3126) 2023 (3684) 2024 (989)
Publication types (Num. hits)
article(23893) book(52) data(18) incollection(194) inproceedings(30594) phdthesis(709) proceedings(101)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25639 occurrences of 5402 keywords

Results
Found 55561 publication records. Showing 55561 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Gilles Piret, Jean-Jacques Quisquater A Differential Fault Attack Technique against SPN Structures, with Application to the AES and KHAZAD. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Block Ciphers, AES, Side-channel Attacks, Fault Attacks
24Xiao Zhi Gao 0001, Seppo J. Ovaska Genetic Algorithm Training of Elman Neural Network in Motor Fault Detection. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Motor fault diagnosis, Genetic Algorithms, Prediction, Time series, Gearbox, Elman neural network
24Li Zhang 0001 Fault-Tolerant Meshes with Small Degree. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault tolerance, parallel computing, interconnection networks, mesh, array
24Wenbing Zhao 0001, Louise E. Moser, P. M. Melliar-Smith Design and Implementation of a Pluggable Fault Tolerant CORBA Infrastructure. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pluggable Protocols Framework, Fault Tolerance, CORBA
24Rida A. Bazzi, Gil Neiger Simplifying fault-tolerance: providing the abstraction of crash failures. Search on Bibsonomy J. ACM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault-tolerance, translations, crash failures
24John P. Morrison, James J. Kennedy, David A. Power Fault Tolerance in the WebCom Metacomputer. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF WebCom, Fault Tolerance, Distributed Computing, Metacomputing, Condensed Graphs
24Nobuo Tsuda Fault-Tolerant Processor Arrays Using Additional Bypass Linking Allocated by Graph-Node Coloring. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF k-out-of-n redundancy, additional bypass linking, graph-node coloring, enhanced communication and broadcast, fault tolerance, tree, mesh, Processor array
24Jie Wu 0001 Fault-Tolerant Adaptive and Minimal Routing in Mesh-Connected Multicomputers Using Extended Safety Levels. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault tolerance, minimal routing, mesh-connected multicomputers
24John Marty Emmert, Charles E. Stroud, Jason A. Cheatham, Andrew M. Taylor, Pankaj Kataria, Miron Abramovici Performance Penalty for Fault Tolerance in Roving STARs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Adaptive Computing System, Fault Tolerance, FPGA
24Jinsong Ouyang, Piyush Maheshwari Supporting Cost-Effective Fault Tolerance in Distributed Message-Passing Applications with File Operations. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF reliable distributed applications, fault tolerance, message passing, checkpointing, rollback-recovery
24Abdeslam En-Nouaary, Ferhat Khendek, Rachida Dssouli Fault Coverage in Testing Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Real-Time Systems, Testing, Specification, Implementation, Timed Automata, Fault Coverage
24Sebastian G. Elbaum, John C. Munson Getting a Handle on the Fault Injection Process: Validation of Measurement Tools. Search on Bibsonomy IEEE METRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF software metrics, software evolution, software measurement, software fault injection
24Ting-Yi Sung, Men-Yang Lin, Tung-Yang Ho Multiple-Edge-Fault Tolerance with Respect to Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Edge-fault tolerance, interconnection networks, reconfigurations, hypercubes, linear algebra, vector space
24Jae-Kwon Suh, Heok-Joung Kwon, Chung-Sei Rhee An parallel diagnosis method for an optimal fault-tolerant network. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel diagnosis method, optimal fault-tolerant network, multiprocessor network architecture, adaptive diagnosis approach, binary cube, hypercube, multiprocessor interconnection networks, diagnosable system
24Yves Gagnon, Yvon Savaria, Michel Meunier, Claude Thibeault Are defect-tolerant circuits with redundancy really cost-effective? Complete and realistic cost model. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF defect-tolerant circuit, contamination, wafer test, silicon chip, reconfiguration, redundancy, integrated circuit testing, manufacturing, yield, cost model, integrated circuit, figure of merit, fault tolerant circuit
24Christopher J. Glass, Lionel M. Ni Fault-Tolerant Wormhole Routing in Meshes without Virtual Channels. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Wormhole routing, adaptive routing, mesh networks, fault-tolerant routing, dynamic faults
24Pascal Caunegre, Claude Abraham Fault simulation for mixed-signal systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-signal systems, fault simulation, bridging faults
24Charles E. Stroud, Ahmed E. Barbour Testability and test generation for majority voting fault-tolerant circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF majority voting circuits, fault-tolerance, Design for testability, test pattern generation, multiple stuck-at faults
24Debra J. Richardson, Margaret C. Thompson An Analysis of Test Data Selection Criteria Using the RELAY Model of Fault Detection. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF test data selection criteria, failure conditions, erroneous behavior, software reliability, fault detection, program testing, information flow, program debugging, RELAY
24Peter C. Maxwell, Robert C. Aitken IDDQ testing as a component of a test suite: The need for several fault coverage metrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF quality, fault coverage, scan, functional testing, Current testing, physical defects
24Ahmed E. Barbour Test patterns for fault-tolerant logic circuits using block design concepts. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF System and Gate level, Testing and Testability, Redundancy, Fault-Tolerant Design, Block Design
23Yu-Ru Hong, Juinn-Dar Huang Reducing fault dictionary size for million-gate large circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault diagnosis, Fault dictionary, diagnostic resolution
23Dawid Trawczynski, Janusz Sosnowski, Piotr Gawkowski Analyzing Fault Susceptibility of ABS Microcontroller. Search on Bibsonomy SAFECOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF safety evaluation, fault tolerance, Fault injection, real-time embedded systems, automotive systems
23Chong Hee Kim, Jean-Jacques Quisquater New Differential Fault Analysis on AES Key Schedule: Two Faults Are Enough. Search on Bibsonomy CARDIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AES key schedule, AES, Fault attack, DFA, Differential Fault Analysis
23Amitangshu Pal, Arghyadip Paul, Amitava Mukherjee 0001, Mrinal K. Naskar, Mita Nasipuri Fault Detection and Localization Scheme for Multiple Failures in Optical Network. Search on Bibsonomy ICDCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault Detection, Optical Network, Fault localization, WDM
23Chengmo Yang, Alex Orailoglu A light-weight cache-based fault detection and checkpointing scheme for MPSoCs enabling relaxed execution synchronization. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault detection, checkpointing, fault recovery
23Kalpesh Kapoor, Jonathan P. Bowen Test conditions for fault classes in Boolean specifications. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault-based testing, Boolean specification, fault classes
23Masato Sakai, Hiroya Matsuba, Yutaka Ishikawa Fault Detection System Activated by Failure Information. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF error relationship tree, CIM object database, application-level failures, fault detection, fault localization
23Piotr Tomaszewski, Lars-Ola Damm Comparing the fault-proneness of new and modified code: an industrial case study. Search on Bibsonomy ISESE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modified code, fault-proneness, fault prediction
23George A. Reis, Jonathan Chang, Neil Vachharajani, Ram Rangan, David I. August, Shubhendu S. Mukherjee Software-controlled fault tolerance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Software-controlled fault tolerance, reliability, fault detection
23Cung Nguyen, G. Robert Redinbo Fault Tolerance Design in JPEG 2000 Image Compression System. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault-tolerant source coding, JPEG 2000 standard, hardware reliability, weighted sum parity, data compression, Discrete Wavelet Transform (DWT), Soft errors, concurrent error detection, Huffman coding, error control codes, algorithm-based fault tolerance, error-checking
23Yen-Lin Peng, Jing-Jia Liou, Chih-Tsun Huang, Cheng-Wen Wu An Application-Independent Delay Testing Methodology for Island-Style FPGA. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF segment delay fault, FPGA, delay testing, path delay fault
23Alain Girault, Hamoudi Kalla, Mihaela Sighireanu, Yves Sorel An Algorithm for Automatically Obtaining Distributed and Fault-Tolerant Static Schedules. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fault Tolerance in Distributed and Real-Time Systems, multi-component architectures, distribution heuristics, Safety-Critical Systems, software implemented fault-tolerance
23Li Shen 0002 RTL Concurrent Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, high-level testing, circuit modeling
23Zaid Al-Ars, Said Hamdioui, Ad J. van de Goor A Fault Primitive Based Analysis of Linked Faults in RAMs. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Memory testing, march tests, functional fault models, linked faults, fault primitives
23Eliane Martins, Cecília M. F. Rubira, Nelson G. M. Leme Jaca: A Reflective Fault Injection Tool Based on Patterns. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault model -reflective programming, design patterns, software fault injection
23Ganesh J. Pai, Joanne Bechta Dugan Automatic Synthesis of Dynamic Fault Trees from UML System Models. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault tree synthesis, UML, Reliability analysis, Dynamic fault trees
23Fabrizio Lombardi, Nohpill Park Testing Layered Interconnection Networks. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Interconnection Networks, Fault Detection, Network Flow, Switch
23Sudipto Ghosh Fault Injection Testing for Distributed Object Systems. Search on Bibsonomy TOOLS (39) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF component-base d systems, fault injection testing, fault tolerance, CORBA, Certification, distributed object systems, Java RMI
23Douglas W. Caldwell, David A. Rennels A Minimalist Fault-Tolerant Microcontroller Design for Embedded Spacecraft Computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-insertion testing, space radiation, single-event effects (SEE), fault-tolerance, microcontroller, embedded computing, real-time computing
23Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF concurrent error detection, Behavioral synthesis, fault security, fault-tolerant microarchitectures
23Said Hamdioui, Ad J. van de Goor Testing Address Decoder Faults in Two-Port Memories: Fault Models, Tests, Consequences of Port Restrictions, and Test Strategy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-port memories, single-port memories, address decoder faults, read-only ports, write-only ports, fault models, fault coverage, march tests
23Henrique Madeira, Diamantino Costa, Marco Vieira On the Emulation of Software Faults by Software Fault Injection. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault injection, software faults, fault classification
23Siu-Cheung Chau, Ada Wai-Chee Fu A reconfigurable fault-tolerant hypercube architecture with global sparing. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable fault-tolerant hypercube architecture, global sparing, reliability, fault tolerant computing, reconfigurable architectures, hypercube networks, switching networks, switching networks
23Sasikumar Cherubal, Abhijit Chatterjee An Efficient Hierarchical Fault Isolation Technique for Mixed-Signal Boards. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mixed-signal boards, fault verification, Fault isolation
23M. A. El-Gamal, Mohamed Fathy Abu El-Yazeed A Combined Clustering and Neural Network Approach for Analog Multiple Hard Fault Classification. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple hard faults, fault clustering, learning vector quantization neural networks, feature selection, analog circuits, fault classification
23Michael S. Hsiao On Non-Statistical Techniques for Fast Fault Coverage Estimation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault coverage estimation, hyperactivity reduction, test generation, fault simulation, tolerance
23Yasuyuki Taniguchi, Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui Activation Function Manipulation for Fault Tolerant Feedforward Neural Networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sigmoid activation function, fault tolerance, stuck-at fault, feedforward neural network
23Yoshinobu Higami, Yuzo Takamatsu, Kewal K. Saluja, Kozo Kinoshita Fault Simulation Techniques to Reduce IDDQ Measurement Vectors for Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit, fault simulation, bridging fault, IDDQ testing
23Jian Xu, Paifa Si, Wei-Kang Huang, Fabrizio Lombardi A Novel Fault Tolerant Approach for SRAM-Based FPGAs. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, fault-tolerant routing, fault-tolerant architecture
23G. Robert Redinbo Hardware Fault Tolerance in Arithmetic Coding for Data Compression. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault-tolerant data compression, fault-tolerant rounding, protected normalization, arithmetic coding, residue codes
23Seiji Kajihara, Kewal K. Saluja On Test Pattern Compaction Using Random Pattern Fault Simulation. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test generation, combinational circuit, fault simulation, stuck-at fault, test compaction
23Ad J. van de Goor, Issam B. S. Tlili Disturb Neighborhood Pattern Sensitive Fault. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Memory fault models, disturb coupling fault model, neighborhood pattern sensitive faults, test algorithms
23V. Prepin, R. David Fault coverage of a long random test sequence estimated from a short simulation. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF long random test sequence, short simulation, fault coverage estimation, two-parameter model, fault diagnosis
23David Ashen, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi Testing of programmable logic devices (PLD) with faulty resources. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF faulty resources, routing resources, built-in self-test schemes, parity chain, one-dimensional arrays, active routing devices, interconnection channels, input/output lines, logic testing, fault model, fault coverage, multiple faults, programmable logic devices, programmable logic devices
23Shyue-Kung Lu, Cheng-Wen Wu, Ruei-Zong Hwang Cell delay fault testing for iterative logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cell delay fault, path delay fault, C-testable, iterative logic array, pseudoexhaustive testing
23Amane Nakajima Fault-tolerant distributed match-making with weights. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF distributed match-making, atomic shared register, cyclic communication structure, fault-tolerance, protocols, fault tolerant computing, distributed processing, mutual exclusion, weights, name service
23Azer Bestavros AIDA-based real-time fault-tolerant broadcast disks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF telesoftware, AIDA-based real-time fault-tolerant broadcast disks, Adaptive Information Dispersal Algorithm, distributed real-time applications, downstream communication capacity, upstream communication capacity, server storage capacity, client storage capacity, scalable mechanism, broadcast disks protocol, prioritized data multiplexing control, real-time systems, mobile computers, wireless networks, distributed algorithms, wireless LAN, fault tolerant computing, broadcasting, transport protocols, adaptive systems, multiplexing, timeliness
23S. Cremoux, Christophe Fagot, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch A new test pattern generation method for delay fault testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test pattern generation method, directed random generation technique, random test vectors, test sequence length, delay fault coverage, learning (artificial intelligence), VLSI, logic testing, delays, built-in self test, integrated circuit testing, BIST, automatic testing, delay fault testing, digital integrated circuits, learning tool, high speed circuits
23Hyun C. Kim, V. S. S. Nair Application layer software fault tolerance for distributed object-oriented systems. Search on Bibsonomy COMPSAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF application layer software fault tolerance, object failures, active object replication schemes, common interface, object-oriented programming, distributed processing, software fault tolerance, encapsulation, replicated objects, data encapsulation, distributed object-oriented systems, hardware failures
23Blaise Muganga, François Pacull, Karim Mazouni, Armel-David Wolff Visual Programming of Fault-Tolerant Distributed Applications. Search on Bibsonomy VL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SystemSpecs, GARF, Petri nets, distributed processing, software tools, distribution, replication, programming environments, programming environments, visual programming, visual programming, software fault tolerance, high level Petri nets, fault-tolerant distributed applications, application functionalities
23Paraskevi Fragopoulou, Selim G. Akl Fault tolerant communication algorithms on the star network using disjoint paths. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault-tolerant communication algorithms, node pairs, directed edge-disjoint spanning trees, single-node broadcasting, multinode broadcasting, single-node scattering problem, all-port communication assumption, faulty edges, multinode scattering problem, interconnection networks, fault tolerant computing, broadcasting, graph, multiprocessor interconnection networks, trees (mathematics), disjoint paths, star network, message transmission, faulty nodes
23Byoung-Joon Min, Sang-Seok Shin, Kee-Wook Rim Design and analysis of a multiprocessor system with extended fault tolerance. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF extended fault tolerance, scalable multiprocessor system, tree-type interconnection networks, computational complexity, reliability, fault tolerant computing, multiprocessor interconnection networks, latency, multiprocessing systems, multiprocessor system, performance penalty, implementation complexity
23Chi-Chun Lo, Chen-Yu Chiu A fault-tolerant architecture for ATM networks. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cell contention, cell delay time, fault tolerant computing, asynchronous transfer mode, ATM networks, switching, multiple faults, high throughput, B-ISDN, self-routing, ISDN, fault-tolerant architecture, switching architecture
23Tomislav Lovric Systematic and Design Diversity - Software Techniques for Hardware Fault Detection. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF design faults, operational faults, fault detection coverage, relative test, absolute test, software implemented hardware-fault injection, systematic diversity, Virtual Duplex System, self-checking, design diversity, fail-safe
23Byung S. So, Charles R. Kime A fault simulation method: Parallel pattern critical path tracing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel pattern simulation, single fault propagation, fault simulation, Critical path tracing
23Anish Arora, Mohamed G. Gouda Closure and Convergence: A Foundation of Fault-Tolerant Computing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF legal states, verification, formal verification, fault-tolerant computing, fault tolerant computing, convergence, closure
23James M. Purtilo, Pankaj Jalote An Environment for Developing Fault-Tolerant Software. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Unix-based hosts, DEC workstations, fault tolerant computing, programming languages, programming environments, software reliability, environment, system recovery, recovery blocks, N-version programming, fault-tolerant software, Sun
23Farshad Safaei, Ahmad Khonsari, Reza Moraveji On the Probability of Facing Fault Patterns: A Performance and Comparison Measure of Network Fault-Tolerance. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jörn-Marc Schmidt, Christoph Herbst A Practical Fault Attack on Square and Multiply. Search on Bibsonomy FDTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Saurabh Jain, W. Robert Daasch, David Armbrust Analyzing the Impact of Fault Tolerant BIST for VLSI Design. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Mehran Mozaffari Kermani, Arash Reyhani-Masoleh Parity-Based Fault Detection Architecture of S-box for Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Linas Laibinis, Elena Troubitsyna, Alexei Iliasov, Alexander B. Romanovsky Rigorous Development of Fault-Tolerant Agent Systems. Search on Bibsonomy RODIN Book The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23A. David Selvakumar, Chester Rebeiro, R. Pitchiah SCADA with Fault Tolerant CORBA on Fault Tolerant LANE ATM. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Wangqi Qiu, Xiang Lu, Zhuo Li 0001, D. M. H. Walker, Weiping Shi CodSim -- A Combined Delay Fault Simulator. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Ying Zhang 0041, Krishnendu Chakrabarty Fault Recovery Based on Checkpointing for Hard Real-Time Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Yung-Yuan Chen, Shi-Jinn Horng, Hung-Chuan Lai An Integrated Fault-Tolerant Design Framework for VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Pierluigi Civera, Luca Macchiarulo, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante FPGA-Based Fault Injection Techniques for Fast Evaluation of Fault Tolerance in VLSI Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Henry Cox, Janusz Rajski A method of fault analysis for test generation and fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Daniela De Venuto, Bruno Riccò Inductive Fault Analysis for Test and Diagnosis of DNA Sensor Arrays. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sensor array for DNA detection, inductive fault analysis, fault diagnosis, fault modeling
23Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Model for Transient Fault Susceptibility of Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF transient fault modeling, transient fault susceptibility, alpha-particle, soft error, transient fault
23Wooyoung Choi, Ramesh Harjani, Bapiraju Vinnakota Optimal test-set generation for parametric fault detection in switched capacitor filters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parametric fault detection, capacitor ratios, fault detection, fault location, switched capacitor filters, switched capacitor filters, switched capacitor circuits, circuit testing, test-set generation
23Fabian Vargas 0001, Alexandre M. Amory Transient-fault tolerant VHDL descriptions: a case-study for area overhead analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient-fault tolerant VHDL descriptions, area overhead analysis, reliable complex circuit design, harmful environments, reliability level, early-estimation, maximum area overhead, redundancy insertion, application minimum reliability requirement, FT-PRO tool, fault tolerant computing, redundancy, microprocessor, integrated circuit design, circuit CAD, CAD tool, transients, reliability estimation, memory elements, integrated circuit reliability, fault-tolerant circuit
23Yea-Ling Horng, Jing-Reng Huang, Tsin-Yuan Chang A realistic fault model for flash memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NAND circuits, faulty behavior classification, NAND-type flash memory, SPICE models, flash cell models, circuit-level faulty behavior simulation, testing, fault model, fault modeling, fault simulation, flash memories, flash memories, circuit analysis computing, SPICE, integrated memory circuits
23Pascal Chevochot, Isabelle Puaut Holistic schedulability analysis of a fault-tolerant real-time distributed run-time support. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF holistic schedulability analysis, fault-tolerant real time distributed run-time support, application tasks, distributed dependable hard real time applications, tick scheduler, unreliable communication protocol, multiple tasks, real-time systems, fault tolerant computing, processor scheduling, schedulability analysis, multiprogramming, hard real time system, run-time support, run-time supports, fault tolerance capabilities, temporal behavior, real time kernel, feasibility test
23G. Robert Redinbo, Ranjit Manomohan Fault-tolerant FFT data compression. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-tolerant FFT data compression, data characteristics, lossy compression algorithms, data processing level, nonzero coefficients, lossless arithmetic coding, end-to-end error detection, fault tolerance, fault tolerant computing, fast Fourier transforms, fast Fourier transform, error detection, encoding, remote sensing, decoding, transform coefficients
23Chiun-Chieh Hsu All-fault-tolerant embedding of a complete binary tree in a group of Cayley graphs. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF all-fault-tolerant embedding, n-dimensional complete transposition graph, bubblesort graph, fault-tolerant scheme, fault tolerant computing, multiprocessor interconnection networks, Cayley graphs, tree data structures, star graph, complete binary tree
23Abhijit Chatterjee, Rathish Jayabharathi, Pankaj Pant, Jacob A. Abraham Non-robust tests for stuck-fault detection using signal waveform analysis: feasibility and advantages. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF waveform analysis, nonrobust tests, stuck-fault detection, signal waveform analysis, signal waveform integration, directed random test generation techniques, fault diagnosis, logic testing, redundancy, integrated circuit testing, combinational circuits, combinational circuits, automatic testing, detectability, fault coverage, test application time, redundant faults
23Hong Shen 0001 Fault-tolerant multicast with traffic-balancing in hypercubes. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF traffic-balancing, fault-tolerant multicast, fault-tolerant multicasting, computational complexity, parallel architectures, fault tolerant computing, hypercubes, time complexity, hypercube networks, interprocessor communication, hypercube computers
23Nikolaos Gaitanis, Panagiotis Kostarakis, Antonis M. Paschalis Totally Self Checking reconfigurable duplication system with separate internal fault indication. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decision circuits, totally self checking system, reconfigurable duplication system, separate internal fault indication, single cell fault model, functional self checking units, decision circuit, indication outputs, nonstop repair, fault diagnosis, logic testing, built-in self test, redundancy, redundancy, reconfigurable architectures, switching circuits, error indication
23Toshinori Yamada, Koji Yamamoto, Shuichi Ueno Fault-tolerant graphs for hypercubes and tori. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault-tolerant graphs, fault-tolerant multiprocessor interconnection networks, graph theory, fault tolerant computing, hypercubes, multiprocessor interconnection networks, hypercube networks, subgraph, tori
23Alan Olson, Kang G. Shin Fault-Tolerant Routing in Mesh Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF distributedcomputing system, fault-tolerant routing algorithm, routing scheme performance, square meshes, high probability, parallel architectures, fault tolerant computing, message passing, software reliability, network routing, torus, fault-tolerant routing, message routing, destination, parallelalgorithms, source, mesh architectures, hexagonal mesh, hexagonal meshes
23Alan Olson, Kang G. Shin Fault-Tolerant Clock Synchronization in Large Multicomputer Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant clock synchronization, large multicomputer systems, clock value, maximum skew, maximum time, fault tolerance, reliability, fault tolerant computing, multiprocessing systems, synchronisation, clocks, clock skew, clock drift, synchronization algorithm
23C. S. Yang, L. P. Zu, Y. N. Wu A Reconfigurable Modular Fault-Tolerant Hypercube Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF reconfigurable modular fault-tolerant hypercube, modular hypercube, spare-sharing links, ring connection, local spares, FTM, switch failures, modular reconfiguration, reliability, parallel architectures, fault tolerant computing, reconfigurable architectures, hypercube networks, switches, system recovery, links, nodes, system reliability, link failures, fault-tolerant design, faulty nodes, hypercube system, hypercube architecture
23Zbigniew M. Wójcik, Barbara E. Wójcik Rough Grammar For Efficient and Fault-Tolerant Computing on a Distributed System. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF global load balancing, dynamic task scheduling, multiprocessor machine, rough grammar, rough grammar production rules, pipeline fashion, statically scheduled multiprocessor, decentralized methodology, scheduling, fault tolerance, parallel processing, distributed computation, fault-tolerant computing, fault tolerant computing, concurrent program, grammars, pipeline processing
23Ganesh J. Pai, Joanne Bechta Dugan Empirical Analysis of Software Fault Content and Fault Proneness Using Bayesian Methods. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF object-oriented, metrics, Bayesian networks, software quality, regression, defects, Bayesian analysis, fault proneness
23Shay Gueron Data and Computational Fault Detection Mechanism for Devices That Perform Modular Exponentiation. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF safe errors, RSA, smartcards, Fault attacks, countermeasures, embedded devices
23Johannes Blömer, Martin Otto 0002, Jean-Pierre Seifert Sign Change Fault Attacks on Elliptic Curve Cryptosystems. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF elliptic curve cryptosystem, smartcards, fault attacks
23Gunnar Gaubatz, Berk Sunar Robust Finite Field Arithmetic for Fault-Tolerant Public-Key Cryptography. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF homomorphic embedding, modulus scaling, fault tolerance, error detection, public-key cryptography, arithmetic codes, Finite field arithmetic, cyclic codes, idempotency
23Alessandro Fantechi, Stefania Gnesi, Laura Semini Achieving Fault Tolerance by a Formally Validated Interaction Policy. Search on Bibsonomy RODIN Book The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Integrity policies, fault tolerance, model checking, process algebras
Displaying result #801 - #900 of 55561 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license