The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Vitit Kantabutra Designing optimum carry-skip adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Pak K. Chan, Martine D. F. Schlag Analysis and Design of CMOS Manchester Adders with Variable Carry-Skip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Akhilesh Tyagi A reduced area scheme for carry-select adders. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Robert Michael Owens, Mary Jane Irwin Implementing algorithms for convolution on arrays of adders. Search on Bibsonomy ICASSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Silvio Turrini Optimal group distribution in carry-skip adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Pak K. Chan, Martine D. F. Schlag Analysis and design of CMOS Manchester adders with variable carry-skip. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Mary Jane Irwin, Robert Michael Owens A comparison of two digit serial VLSI adders. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Bernd Becker 0001, Uwe Sparmann Regular Structures and Testing: RCC-Adders. Search on Bibsonomy AWOC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Tack-Don Han, David A. Carlson Fast area-efficient VLSI adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Tin-Fook Ngai, Mary Jane Irwin, Shishpal Rawat Regular Area-Time Efficient Carry-Lookahead Adders. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Kazuo Iwano, Kenneth Steiglitz Optimization of one-bit full adders embedded in regular structures. Search on Bibsonomy IEEE Trans. Acoust. Speech Signal Process. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Tin-Fook Ngai, Mary Jane Irwin Regular, area-time efficient carry-lookahead adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Ging-Shung Yu, Saburo Muroga Parallel multipliers with NOR gates based on G-minimum adders. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16Akito Sakurai, Saburo Muroga Parallel Binary Adders with a Minimum Number of Connections. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF ripple adder, Adder with a minimum number of connections, minimal adder, NOR gates, parallel adder, logic design
16Richard P. Brent, H. T. Kung 0001 A Regular Layout for Parallel Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF area-time complexity, parallel addition, parallel polynomial evaluation, VLSI, models of computation, circuit design, Addition, combinational logic, prefix computation, carry lookahead
16Bin Cheng Minimal Parallel Binary Adders With And/or Gates and a Scheme for a Compact Parallel Multiplier Search on Bibsonomy 1982   RDF
16Arnold Weinberger High-Speed Programmable Logic Array Adders. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
16Arnold Weinberger Parallel adders using standard plas. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
16Ging-Shung Yu Search for Parallel Binary Adders With a Minimum Number of And/or Gates and Their Extension to High-Speed Parallel Multipliers Search on Bibsonomy 1978   RDF
16Jean P. Chinal The logic of modulo 2k + 1 adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
16Tso-Kai Liu, Keith R. Hohulin, Lih-Er Shiau, Saburo Muroga Optimal One-Bit Full Adders With Different Types of Gates. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16Dhiraj K. Pradhan Fault-Tolerant Carry-Save Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16Tse Lin Wang, Chao-Kai Liu Weight-Preserved Single-Error-Correcting Scheme for Binary Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16Thammavarapu R. N. Rao Error Correction in Adders using Systematic Subcodes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
16Anthony S. Wojcik, Gernot Metze On the Cost of Base N Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
16Robert Orval Berg, Larry L. Kinney Serial Adders with Overflow Correction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
16Charles W. Weller A High-Speed Carry Circuit for Binary Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
16Stanislaw Majerski On Determination of Optimal Distributions of Carry Skips in Adders. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
16Herbert H. Roth Linear binary shift register circuits utilizing a minimum number of mod-2 adders. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
16J. J. Amodei High-Speed Adders and Comparators Using Transistors and Tunnel Dioes. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1964 DBLP  DOI  BibTeX  RDF
16Jack Sklansky Ultimate-Speed Adders. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1963 DBLP  DOI  BibTeX  RDF
16David B. G. Edwards High-Speed Transistorized Adders. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1961 DBLP  DOI  BibTeX  RDF
16Jack Sklansky An Evaluation of Several Two-Summand Binary Adders. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1960 DBLP  DOI  BibTeX  RDF
16Meier M. Lehman, Naphtali Burla A Note on the Simultaneous-Carry-Generation System for High-Speed Adders. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1960 DBLP  DOI  BibTeX  RDF
15Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
15Neil Burgess The Flagged Prefix Adder and its Applications in Integer Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF prefix adders, absolute difference, end-around carry, computer arithmetic
15Dhananjay S. Phatak, Tom Goff, Israel Koren Constant-Time Addition and Simultaneous Format Conversion Based on Redundant Binary Representations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF constant-time addition, simultaneous format conversion, redundant adders, signed-digit addition, 4:2 compressor, Redundant representations, carry-save addition
15Sorin Cotofana, Stamatis Vassiliadis Signed Digit Addition and Related Operations with Threshold Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF signed-digit arithmetic, redundant adders, redundant multipliers, neural networks, Computer arithmetic, threshold logic, carry-free addition, signed-digit number representation
15Juan A. Montiel-Nelson, Saeid Nooshabadi, V. de Armas, Roberto Sarmiento, Antonio Núñez High Speed GaAs Subsystem Design using Feed Through Logic. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF GaAs fast arithmetic circuits, GaAs design methodologies, GaAs subsystem design, GaAs ripple-carry adders, GaAs magnitude comparators
15R. D. (Shawn) Blanton, John P. Hayes Design of a fast, easily testable ALU. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ALU, adder design, L-testable design, level-testable, carry-lookahead addition, fault diagnosis, logic testing, integrated circuit testing, automatic testing, digital arithmetic, integrated circuit design, adders, logic arrays, test patterns, area overhead, functional faults, carry logic, arithmetic-logic unit, 8 bit
15D. V. Poornaiah, P. V. Ananda Mohan A novel VLSI concurrent dual multiplier-dual adder architecture for image and video coding applications. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF concurrent dual multiplier-dual adder architecture, video coding applications, high-throughput image coding, carry-save 4:2 compressors, computational complexity, VLSI, VLSI, data compression, video coding, adders, computation time, multiplying circuits, digital signal processing chips
15Mallika De, Bhabani P. Sinha Testing of a parallel ternary multiplier using I2L logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integrated injection logic, parallel ternary multiplier, I/sup 2/L logic, multivalued I/sup 2/L circuits, input balanced ternary full adder, precarry generator, multivalued current inputs, multivalued current outputs, generated test sets, skew fault, fault diagnosis, logic testing, design for testability, logic design, digital arithmetic, fault location, stuck-at fault, generalized model, adders, adder, multiplying circuits, multivalued logic circuits, test sets, parallel multiplier
15Keivan Navi, Daniel Etiemble From Multi-Valued Current Mode CMOS Circuits to Efficient Voltage Mode CMOS Arithmetic Operators. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multivalued current mode CMOS circuits, voltage mode CMOS arithmetic operators, 3-valued current mode CMOS 2-input BSC adder, CMOS binary 4-2 counter, 1-digit Avizienis-like adder, adders, CMOS integrated circuits, integrated logic circuits, multivalued logic circuits, ternary logic, redundant number representation
15William C. Athas, Nestoras Tzartzanis Energy recovery for low-power CMOS. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bootstrap circuits, low-power CMOS, energy-recovery techniques, voltage swing, transition time, MOS device parameters, adder designs, VLSI, mathematical model, bootstrapping, adders, CMOS logic circuits, CMOS logic circuits, power dissipation, integrated circuit modelling, SOI
15Issam S. Abu-Khater, Abdellatif Bellaouar, Mohamed I. Elmasry, Ran-Hong Yan Circuit/architecture for low-power high-performance 32-bit adder. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conditional sum architecture, CPL-like logic implementation, power supply voltage, minimum size, optimized speed, 1 to 3.3 V, logic design, CMOS, integrated circuit design, adders, adder, CMOS logic circuits, circuit optimisation, 32 bit
15Nestoras Tzartzanis, William C. Athas Design and analysis of a low-power energy-recovery adder. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-recovery adder, frequency range, VLSI, VLSI, delays, logic CAD, circuit analysis computing, integrated circuit design, adders, CMOS logic circuits, CMOS logic circuits, circuit CAD, SPICE, SPICE simulation, delay time, energy dissipation
15K. Vijayan Asari, C. Eswaran An Optimization Technique for the Design of Multiple Valued PLA's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple valued PLA design, output encoding, binary output, multiple function literal circuits, PLA size, encoding, minimization, programmable logic arrays, adders, adder, many-valued logics, minimisation, multiple valued logic, logic arrays, optimization technique, network synthesis
15Jean Vuillemin On Circuits and Numbers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF PROM, 2-adic integers, synchronous decision diagrams, BDD constructs, bit-serial circuits, reset signals, 2Z, arithmetic synthesis f, periodic binary constants, deeply binding synchronous enable, combinational circuit semantics, arbitrary precision, programmable active memories, specification languages, sequential circuits, combinational circuits, digital arithmetic, logic CAD, adders, digital circuits, arithmetic, combinatorial circuits, synchronous circuits, continuous functions, rational numbers
15Chien-In Henry Chen, Anup Kumar Comments on "Area-Time Optimal Adder Design". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VLSI parallel adder, optimal 32-bit fast carry generator, fast carry generator, BiCMOS drivers, optimal adder design, adders, carry logic, critical path delay
15Stamatis Vassiliadis, James Phillips, Bart Blaner Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF interlocked fixed point arithmetic logic unit, unsigned binary, binary logical operations, single instruction execution, machine cycle, architectural compatibility, parallel processing, digital arithmetic, adders, CMOS integrated circuits, CMOS technology, carry-save adder, carry-lookahead adder, two's complement
15Vitit Kantabutra A Recursive Carry-Lookahead/Carry-Select Hybrid Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF recursive carry-lookahead/carry-select hybrid adder, double-precision mantissas, spanning tree carry lookahead adder, redundant cell adder, Am29050 microprocessor, Manchester carry chains, delays, adders
15Dirk Timmermann, Helmut Hahn, Bedrich J. Hosticka Low Latency Time CORDIC Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF constant scale factor, redundant addition, latency time, computational complexity, parallel architecture, iterative methods, digital arithmetic, adders, number theory, CORDIC algorithms
15Nhon T. Quach, Michael J. Flynn High-Speed Addition in CMOS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF high speed addition, static complementary metal-oxide semiconductor, Ling-type 32-bit adder, serial transistors, worst-case critical path, carry look-ahead, CMOS, adders, CMOS integrated circuits, gate delay, 32 bit
15Robert Michael Owens, Mary Jane Irwin Being Stingy with Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF VLSI signal processor, signal processing architectures, signal processing equipment, VLSI, interconnect, adders, multipliers, digital signal processing chips
15Homayoon Sam, Arupratan Gupta A Generalized Multibit Recoding of Two's Complement Binary Numbers and Its Proof with Application in Multiplier Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fixed coefficient multiplication, controlled coefficient multiplication, multibit recoding algorithm, signed two's complement binary numbers, radix 2/sup k/, very high speed adders, hardware parallel multipliers, 5-bit recoding, performance, computer arithmetic, digital arithmetic, multiplying circuits, signed-digit representation
15Belle W. Y. Wei, Clark D. Thompson Area-Time Optimal Adder Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF area-time optimal adder design, VLSI parallel adder, component cells, static CMOS, floating-point processor, 66 bit, VLSI, dynamic programming, dynamic programming, logic design, digital arithmetic, adders, CMOS integrated circuits, modular design
15Mike Paterson, Nicholas Pippenger, Uri Zwick Faster Circuits and Shorter Formulae for Multiple Addition, Multiplication and Symmetric Boolean Functions Search on Bibsonomy FOCS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multiplication circuits, multiple addition, shallowest possible circuits, shortest possible formulas, occurrence matrix, shortest multiple carry-save addition formulas, delay matrix, multiple carry-save adders, multiplication, symmetric Boolean functions, carry-save addition
15Robert W. Doran Variants of an Improved Carry Look-Ahead Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF look-ahead carry, adder, adders, variation, improved, carry look-ahead adder
15Antonis M. Paschalis, Dimitris Nikolos, Constantine Halatsis Efficient Modular Design of TSC Checkers for M-out-of-2M Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF half-adder, VLSI MOS implementation, logic design, trees, codes, codes, adders, modular design, totally self-checking checkers, full-adder
15Sebastián Dormido 0001, M. A. Canto An Upper Bound for the Synthesis of Generalized Parallel Counters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF parallel counter networks, Digital counters, multiple input adders, parallel counters, fast multipliers
15K. Wayne Current A High Data-Rate Digital Output Correlator Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF Digital correlators, latched quaternary threshold logic full adders, multiple valued logic, threshold logic, parallel counters
15K. Wayne Current, Douglas A. Mow Implementing Parallel Counters with Four-Valued Threshold Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Four-valved logic full adders, multivalued logic, threshold logic, parallel counters
15Dharma P. Agrawal A Novel Technique for Computing Negabinary Squares. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF subtrahend, Base-2 or negabinary, negabinary adders, polarized addend, square-root, square, reduction technique
15Hideaki Kobayashi, Hiroyoshi Ohara A Synthesizing Method for Large Parallel Counters with a Network of Smaller Ones. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Array logics, carry-shower counters, digital counters, multiple-input adders, parallel-counter networks, parallel counters, associative processors, fast multipliers
15Paul W. Baker Suggestion for a Fast Binary Sine/Cosine Generator. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Cascaded carry-save adders, continued products, digital arithmetic, sines, cosines
15Frank M. Brown Weighted Realizations of Switching Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF tally-coded representations, multiplexers, combinational logic, symmetric functions, Binary adders
9Hamed F. Dadgour, Muhammad Mustafa Hussain, Kaustav Banerjee A new paradigm in the design of energy-efficient digital circuits using laterally-actuated double-gate NEMs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Boolean logic minimization, energy-efficient electronics, laterally-actuated NEMS, nanoelectromechanical switches, XOR gates
9Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
9Jung Hwan Choi, Nilanjan Banerjee, Kaushik Roy 0001 Variation-Aware Low-Power Synthesis Methodology for Fixed-Point FIR Filters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Xiao-dong Sun, Hong-Bin Zhang A Fast Hole-filling Strategy of 3D Scanned Human Body. Search on Bibsonomy CGIV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Hun-sik Kang, Kwang-Eui Pyun, Sin-Chong Park A hardware implementation of AGC and synchronization for OFDM-based WLAN. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AGC, preamble, synchronization, OFDM, matched filter, phase estimation
9Abdulah Abdulah Zadeh High performance synchronized dual elliptic curve crypto-processor. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Omid Sarbishei, Bijan Alizadeh, Masahiro Fujita Polynomial datapath optimization using partitioning and compensation heuristics. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modular HED, polynomial datapath, high-level synthesis
9Mitchell J. Myjak, José G. Delgado-Frias A Medium-Grain Reconfigurable Architecture for DSP: VLSI Design, Benchmark Mapping, and Performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Youngmoon Choi, Earl E. Swartzlander Jr. Speculative Carry Generation With Prefix Adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mitra Mirhassani, Majid Ahmadi, Graham A. Jullien Low-Power Mixed-Signal CVNS-Based 64-Bit Adder for Media Signal Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kubilay Atasu, Can C. Özturan, Günhan Dündar, Oskar Mencer, Wayne Luk CHIPS: Custom Hardware Instruction Processor Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Abusaleh M. Jabir, Dhiraj K. Pradhan, Jimson Mathew GfXpress: A Technique for Synthesis and Optimization of GF(2m) Polynomials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Shang Ma, Jianhao Hu, Lin Zhang, Xiang Ling 0002 An efficient RNS parity checker for moduli set {2 n - 1, 2 n + 1, 22 n + 1} and its applications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF number comparison, sign determination, overflow detection, VLSI, RNS, parity check
9Rodney Van Meter, W. J. Munro, Kae Nemoto, Kohei M. Itoh Arithmetic on a distributed-memory quantum multicomputer. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quantum computer architecture, Quantum computing
9Sabyasachi Das, Sunil P. Khatri Resource sharing among mutually exclusive sum-of-product blocks for area reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Bijan Davvaz Approximations in n -ary algebraic systems. Search on Bibsonomy Soft Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Algebraic system, n-ary semigroup, Rough set, Fuzzy set, Upper approximation, Lower approximation
9Chua-Chin Wang, Gang-Neng Sung, Pai-Li Liu Power-Aware Design of An 8-Bit Pipelining ANT-Based CLA Using Data Transition Detection. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data transition detection, CLA, pipeline, power-aware, ANT
9Amit Pande, Joseph Zambreno Design and analysis of efficient reconfigurable wavelet filters. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ruzica Jevtic, Carlos Carreras Analytical High-Level Power Model for LUT-Based Components. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne A novel FPGA logic block for improved arithmetic performance. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 6.2 compressor, carry-chain, compressor tree, multi-operand addition, FPGA, arithmetic circuits
9Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Improving Synthesis of Compressor Trees on FPGAs via Integer Linear Programming. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Efficient synthesis of compressor trees on FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yuen-Hong Alvin Ho, Chi-Un Lei, Hing-Kit Kwan, Ngai Wong Global optimization of common subexpressions for multiplierless synthesis of multiple constant multiplications. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Douglas L. Maskell, Achutavarrier Prasad Vinod, Graham S. Woods Multiplierless multi-standard SDR channel filters. Search on Bibsonomy MMSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Zdenek Vasícek, Martin Zádník, Lukás Sekanina, Jirí Tobola On Evolutionary Synthesis of Linear Transforms in FPGA. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Butler W. Lampson Lazy and speculative execution in computer systems. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lazy evaluation
9Hani H. Saleh, Earl E. Swartzlander Jr. A floating-point fused dot-product unit. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Luigi Dadda, Alberto Nannarelli A variant of a radix-10 combinational multiplier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Tzu-Yuan Kuo, Jinn-Shyan Wang A low-voltage latch-adder based tree multiplier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chong-Yu Huang, Lien-Fei Chen, Yeong-Kang Lai A high-speed 2-D transform architecture with unique kernel for multi-standard video applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Gian Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli ADAPTO: full-adder based reconfigurable architecture for bit level operations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ya Jun Yu, Dong Shi, Yong Ching Lim Subexpression encoded extrapolated impulse response FIR filter with perfect residual compensation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jang Woong Park, Hyoung Jin Yun, Myung Hoon Sunwoo, Pansoo Kim, Dae-Ig Chang Efficient coarse frequency synchronizer using serial correlator for DVB-S2. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license