The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Sivanarayana Mallela, Gerald M. Masson Diagnosis Without Repair for Hybrid Fault Situations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF diagnosability without repair, hybrid fault situation, fault diagnosis, PMC models, intermittent faults, permanent faults, Connection assignment
19Dhiraj K. Pradhan A New Class of Error-Correcting/Detecting Codes for Fault-Tolerant Computer Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF Coset codes, decoder logic, erasure decoding, mass memories, multiple errors, TSC checkers, error detection, error correction, transient faults, multiple faults, unidirectional errors, self-checking, shift register memories, read-only memories, random errors, two-rail checkers
19Premachandran R. Menon, Stephen G. Chappell Deductive Fault Simulation with Functional Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF fault lists, simulation, functional, faults, Deductive, functional faults, fault propagation
19James E. Smith 0001 On the Existence of Combinational Logic Circuits Exhibiting Multiple Redundancy. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF undetectable faults, multiple redundancy, redundancy, Fault detection, multiple faults
19Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Enhancement of Clock Delay Faults Testing. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Clock line, Test Generation, Delay faults
19Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection network, cache coherence, transient faults
19Roberto Natella, Domenico Cotroneo Emulation of Transient Software Faults for Dependability Assessment: A Case Study. Search on Bibsonomy EDCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dependability Assessment, Mandelbugs, Fault Tolerance, Software Faults, Software Fault Injection
19Shehzad Hasan, Ajoy Kumar Palit, Walter Anheier Test Pattern Generation and Compaction for Crosstalk Induced Glitches and Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Switching Windows, Test Set Compaction, Automatic Test Pattern Generation, Crosstalk Faults
19Lingfu Xie, Du Xu The Two-Level-Turn-Model Fault-Tolerant Routing Scheme in Tori with Convex and Concave Faults. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF two-level-turn-model, concave faults, fault-tolerant routing, Tori
19Yu Huang 0005, Wu-Tung Cheng, Ruifeng Guo Diagnose Multiple Stuck-at Scan Chain Faults. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chain diagnosis, multiple faults, dynamic learning
19Sun-Yuan Hsieh, Chia-Wei Lee Hamiltonicity of Matching Composition Networks with Conditional Edge Faults. Search on Bibsonomy TAMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Algorithmica aspect of network problems, conditional edge faults, matching composition networks, restricted hypercube-like networks, fault-tolerance, graph theory, multiprocessor systems, Hamiltonian cycles, Hamiltonicity
19Sérgio Pinheiro dos Santos, José Alfredo F. Costa A Comparison between Hybrid and Non-hybrid Classifiers in Diagnosis of Induction Motor Faults. Search on Bibsonomy CSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Machine learning, Faults detection, Induction motors, Multi-classifiers systems
19Thomas J. Ostrand, Elaine J. Weyuker, Robert M. Bell Locating where faults will be. Search on Bibsonomy Richard Tapia Celebration of Diversity in Computing Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF software testing, prediction, empirical study, software faults, regression model, fault-prone
19John P. Hayes, Ilia Polian, Bernd Becker 0001 Testing for Missing-Gate Faults in Reversible Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF missing gate faults, fault models, design for test, quantum circuits, Reversible circuits
19Yih-Peng Hwang, David C. Rine Algorithms to detect chained-inference faults in information distribution systems. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CI systems, chained-inference rule faults, transistion-directed graph, distribution systems, fault detection, information
19Chenggong Charles Fan, Jehoshua Bruck Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF extra-stage, switch faults, stage masks, fault tolerance, Multistage Interconnection Networks (MIN)
19Said Hamdioui, Ad J. van de Goor Testing Address Decoder Faults in Two-Port Memories: Fault Models, Tests, Consequences of Port Restrictions, and Test Strategy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-port memories, single-port memories, address decoder faults, read-only ports, write-only ports, fault models, fault coverage, march tests
19Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation for crosstalk-induced faults: framework and computational result. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF 2-vector test generation, crosstalk-induced faults, noise effects, design effort, debugging effort, pulses, signal speedup, signal slowdown, digital combinational circuits, mixed-signal test generator, XGEN, static values, dynamic signals, signal arrival times, rise times, fall times, integrated circuit testing, automatic test pattern generation, combinational circuits, accuracy, vectors, circuit analysis computing, crosstalk, transitions, integrated logic circuits, technology scaling, SPICE simulations, gate delay, circuit performance, timing information, clock frequency
19Seungjin Park, Jong-Hoon Youn, Bella Bose Fault-Tolerant Wormhole Routing Algorithms in Meshes in the Presence of Concave Faults. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF concave faults, fault-tolerant, wormhole routing, mesh network, virtual channel
19Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel A Scan-BIST Structure to Test Delay Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, delay faults, scan design
19Th. Haniotakis, Dimitris Nikolos, Y. Tsiatouhas C-Testable One-Dimensional ILAs with Respect to Path Delay Faults: Theory and Applications. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF robustly delay fault testable circuits, path delay faults, C-testability, Iterative-logic-arrays
19Suresh Chalasani, Rajendra V. Boppana Communication in Multicomputers with Nonconvex Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Solid faults, routing algorithms, deadlocks, wormhole routing, mesh networks, multicomputers
19Jie Wu 0001, Eduardo B. Fernández, Yingquiu Luo Embedding of binomial trees in hypercubes with link faults. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF binomial trees embedding, variable roots, faulty links, n-level binomial tree, spanning binomial trees, fault tolerance property, reduction operations, binomial tree structure, performance, broadcasting, hypercubes, hypercube networks, n-cubes, link faults
19Hagbae Kim, Kang G. Shin Sequencing Tasks to Minimize the Effects of Near-Coincident Faults in TMR Controller Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TMR failure, common-cause and independent faults, random and effective sequencing of tasks, Task Interval (TI), task distance, conventional
19Martine D. F. Schlag, F. Joel Ferguson Detection of Multiple Faults in Two-Dimensional ILAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing, functional testing, multipliers, multiple faults, Iterative logic arrays
19Irith Pomeranz, Sudhakar M. Reddy On the Number of Tests to Detect All Path Delay Faults in Combinational Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Lower bound on test set size, pipelining, multipliers, path delay faults, resynthesis
19Sreejit Chakravarty, Paul J. Thadikaran Simulation and Generation of IDDQ Tests for Bridging Faults in Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test generation, fault simulation, Bridging faults, IDDQ testing
19Peter Wohl, John A. Waicukauski, Matthew Graf Testing "untestable" faults in three-state circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF three-state circuits, complex CMOS designs, nonconventional circuits, test generation techniques, circuit particularities, fault diagnosis, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, test coverage, multivalued logic circuits, computer testing, CPU time, test vector generation, untestable faults, automatic learning
19Richard Cole 0001, Bruce M. Maggs, Ramesh K. Sitaraman Routing on Butterfly Networks with Random Faults. Search on Bibsonomy FOCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constant probability, reliability, fault tolerant computing, probability, packet switching, routing algorithm, hypercube networks, packet routing, butterfly networks, random faults
19Peter Lidén, Peter Dahlgren Switch-level modeling of transistor-level stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transistor-level stuck-at faults, switch-level algorithms, fault modeling capability, fault detection measures, confidence degradation, unknown output values, uncertainty quantification, node model, fault diagnosis, logic testing, integrated circuit testing, circuit analysis computing, CMOS logic circuits, CMOS circuits, integrated circuit modelling, switch-level modeling
19Shih-Yuang Su, Cheng-Wen Wu Testing Iterative Logic Arrays for Sequential Faults with a Constant Number of Patterns. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF sequential faults, ILA, M-testability, constant-length test sequence, pipelined array multiplier, sequential fault testing, logic testing, logic testing, sequential circuits, test pattern generation, logic arrays, combinatorial circuits, test vectors, C-testability, iterative logic arrays, iterative logic array
19Michael Harrington, Arun K. Somani Synchronizing Hypercube Networks in the Presence of Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hypercube networks synchronisation, redundant results, fault tolerant hardware synchronization schemes, multistage synchronizers, Proteus parallel computer system, circuit switching communication network, reliability, fault tolerant computing, application specific integrated circuits, synchronisation, hypercube networks, distributed networks, phased locked loops, fault tolerant systems, Byzantine faults, synchronous programs, ASIC design
19S. A. Ali, G. Robert Redinbo Tight Lower Bounds on the Detection Probabilities of Single Faults at Internal Signal Lines in Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF tight lower bounds, internal signal lines, fault diagnosis, logic testing, combinational circuits, combinational circuits, random testing, detection probabilities, single faults
19Frank Thomson Leighton, Yuan Ma Breaking the Theta(n log ^2 n) Barrier for Sorting with Faults (Extended Abstract) Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF sorting with faults, sorting circuit, PRAM algorithm, passive-fault-tolerant sorting circuit, reversal-fault-tolerant sorting network, fault-tolerant sorting algorithm, fault-tolerant, parallel algorithms, sorting network
19Kostas N. Oikonomou Abstractions of Finite-State Machines and Immediately-Detectable Output Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF immediately-detectable output faults, nondeterministic machine, approximately optimal partition, computational complexity, data structures, fault tolerant computing, abstraction, NP-complete, finite-state machines, polynomial-time algorithm, finite automata, set partitioning
19Hisao Tamaki Efficient Self-Embedding of Butterfly Networks with Random Faults Search on Bibsonomy FOCS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF level-preserving embedding, self-embedding, node-failure probability, congestion, dilation, butterfly networks, random faults
19Sreejit Chakravarty, Harry B. Hunt III On Computing Signal Probability and Detection Probability of Stuck-at Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF pseudo gates, logic testing, built-in self test, combinational circuits, random testing, stuck-at faults, combinatorial circuits, testability analysis, detection probability, signal probability, pseudorandom testing, enumeration algorithm
19Pinaki Mazumder, Janak H. Patel Parallel Testing for Pattern-Sensitive Faults in Semiconductor Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF semiconductor random-access memories, design-for-testability approach, MOS integrated circuits, reliability, integrated circuit testing, linear complexity, MOS, random-access storage, integrated memory circuits, pattern-sensitive faults, design strategy, parallel testing
19Jacob Savir, William H. McAnney Random Pattern Testability of Delay Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF combinational logic networks, logic testing, delay faults, combinatorial circuits, latches, random pattern testability, system clocks
19Kostas N. Oikonomou Abstractions of Finite-State Machines Optimal with Respect to Single Undetectable Output Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF undetectable output faults, optimal abstraction, Abstraction, finite-state machine, observer, branch-and-bound algorithm
19Miron Abramovici, Prem R. Menon A Practical Approach to Fault Simulation and Test Generation for Bridging Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF test generation, fault detection, fault simulation, Bridging faults
19Mark G. Karpovsky Universal Tests for Detection of Input/Output Stuck-At and Bridging Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF upper and lower bounds for number of tests, Asymptotically optimal tests, stuck-at and bridging faults, universal tests, fault detection
19Teruhiko Yamada, Takashi Nanya Comments on "Detection Location of Input and Feedback Bridging Faults Among Input Output Lines". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Asynchronous behavior, test generation, fault detection, fault location, bridging faults, combinational networks
19Dong S. Suk, Sudhakar M. Reddy A March Test for Functional Faults in Semiconductor Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF random access memories (RAM's), lower bounds, Functional faults
19Sharad C. Seth, K. Narayanaswamy A Graph Model for Pattern-Sensitive Faults in Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF single pattern-sensitive faults, Coloring algorithm, optimal transition write sequences, graph modeling, RAM testing
19Pramod K. Varshney On Analytical Modeling of Intermittent Faults in Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF fault detection algorithms, fault tolerant computing, Analytical models, digital systems, intermittent faults
19Stephen Y. H. Su, Israel Koren, Yashwant K. Malaiya A Continous-Parameter Markov Model and Detection Procedures for Intermittent Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF repetitive testing, Continuous-parameter Markov model, continuous testing, optimal testing experiments, fault detection, intermittent faults
19Israel Koren, Zvi Kohavi Diagnosis of Intermittent Faults in Combinational Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF sequential decision tree, dynamic programming, fault diagnosis, Combinational networks, intermittent faults, weighting function
19John F. Meyer, Robert J. Sundstrom On-Line Diagnosis of Unrestricted Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF inverse sequential machines, on-line diagnosis, reliable automata, unrestricted faults, fault diagnosis, Concurrent error detection
19John P. Hayes Detection of Pattern-Sensitive Faults in Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF fault detection, random-access memories, pattern-sensitive faults, Checking experiments
18Jiahao Song, Xiao He 0001 Estimation of Periodically Occurring Faults for Dynamic Systems With Timing Misalignment Between Faults and Observations. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Enze Zhang, Qingnan Huang, Zhongqing Wei, Xiangsuo Fan, Shan Su H∞ Robust Fault-Tolerant Control of a Six-Rotor UAV Containing Sensor Faults and Actuator Non-Affine Faults. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shuiqing Xu, Xinyang Chen, Feng Liu, Hai Wang 0004, Yi Chai, Wei Xing Zheng 0001, Hongtian Chen A Novel Adaptive SMO-Based Simultaneous Diagnosis Method for IGBT Open-Circuit Faults and Current Sensor Incipient Faults of Inverters in PMSM Drives for Electric Vehicles. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Qinghua Hou, Jiuxiang Dong Enabling Reliable Cooperative Output Regulation in Directed Graphs: Fully Distributed Event-Triggered Protocols for Multiagent Systems With Actuator Faults and Communication Link Faults. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Navya Mohan, J. P. Anita Test and diagnosis pattern generation for distinguishing stuck-at faults and bridging faults. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz Wrapping Paths of Undetected Transition Faults With Two-Cycle Gate-Exhaustive Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz GEPDFs: Path Delay Faults Based on Two-Cycle Gate-Exhaustive Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Syed Sha Qutub, Florian Geissler, Yang Peng, Ralf Gräfe, Michael Paulitsch, Gereon Hinz, Alois C. Knoll Hardware faults that matter: Understanding and Estimating the safety impact of hardware faults on object detection DNNs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Syed Sha Qutub, Florian Geissler, Yang Peng, Ralf Gräfe, Michael Paulitsch, Gereon Hinz, Alois C. Knoll Hardware Faults that Matter: Understanding and Estimating the Safety Impact of Hardware Faults on Object Detection DNNs. Search on Bibsonomy SAFECOMP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Reut Asraf, Chen Rozenshtein, David Sarne On the Effect of User Faults on her Perception of Agent's Faults in Collaborative Settings. Search on Bibsonomy HAI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Praikanok Lertwanitrot, Atthapol Ngaopitakkul Discriminating Between Capacitor Bank Faults and External Faults for an Unbalanced Current Protection Relay Using DWT. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Tejun Zhou, Jiazheng Lu, Bo Li, Yanjun Tan Fractal Analysis of Power Grid Faults and Cross Correlation for the Faults and Meteorological Factors. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Claire McKay Bowen, Nathan DeBardeleben, Sean Blanchard, Christine M. Anderson-Cook Do Solar Proton Events Reduce the Number of Faults in Supercomputers?: A Comparative Analysis of Faults During and without Solar Proton Events. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita Automatic Test Pattern Generation for Double Stuck-at Faults Based on Test Patterns of Single Faults. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz Iterative Test Generation for Gate-Exhaustive Faults to Cover the Sites of Undetectable Target Faults. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Peikun Wang, Conrad J. Moore, Amir Masoud Gharehbaghi, Masahiro Fujita An ATPG Method for Double Stuck-At Faults by Analyzing Propagation Paths of Single Faults. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Yi-Cheng Kung, Kuen-Jong Lee, Sudhakar M. Reddy Generating Compact Test Patterns for Stuck-at Faults and Transition Faults in One ATPG Run. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Conrad J. Moore, Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita Test pattern generation for multiple stuck-at faults not covered by test patterns for single faults. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Cheng-Hung Wu, Saint James Lee, Kuen-Jong Lee Test and diagnosis pattern generation for dynamic bridging faults and transition delay faults. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Gordon Fraser 0001, Andrea Arcuri 1600 faults in 100 projects: automatically finding faults while achieving high coverage with EvoSuite. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Tobias Koal, Stefan Scharoba, Heinrich Theodor Vierhaus Combining Correction of Delay Faults and Transient Faults. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Cheng-Hung Wu, Saint James Lee, Kuen-Jong Lee Distinguishing dynamic bridging faults and transition delay faults. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Roberto Pietrantuono, Stefano Russo 0001, Kishor S. Trivedi Emulating Environment-Dependent Software Faults. Search on Bibsonomy COUFLESS@ICSE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Diagnosis of Gate Delay Faults in the Presence of Clock Delay Faults. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz Substituting transition faults with path delay faults as a basic delay fault model. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Cheng-Hung Wu, Kuen-Jong Lee An Efficient Diagnosis Pattern Generation Procedure to Distinguish Stuck-at Faults and Bridging Faults. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Lingming Zhang 0001, Lu Zhang 0023, Sarfraz Khurshid Injecting mechanical faults to localize developer faults for evolving software. Search on Bibsonomy OOPSLA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Qi Zhang, Xiaodong Zhang 0009 A distributed detection scheme for process faults and sensor faults in a class of interconnected nonlinear uncertain systems. Search on Bibsonomy CDC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja On Detecting Transition Faults in the Presence of Clock Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Fatemeh Javaheri, Majid Namaki-Shoushtari, Parastoo Kamranfar, Zainalabedin Navabi Mapping Transaction Level Faults to Stuck-At Faults in Communication Hardware. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Paolo Bernardi, Matteo Sonza Reorda, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch On the Modeling of Gate Delay Faults by Means of Transition Delay Faults. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Syed Shariyar Murtaza, Nazim H. Madhavji, Mechelle Gittens, Zude Li Diagnosing new faults using mutants and prior faults. Search on Bibsonomy ICSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yiwen Shi, Wan-Chan Hu, Jennifer Dworak Too many faults, too little time on creating test sets for enhanced detection of highly critical faults and defects. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Ezra N. Hoch Bridging the gap : Byzantine faults and self-stabilization (עם תקציר בעברית ושער נוסף: שילוב של שגיאות ביזנטיות עם התייצבות עצמית.; Byzantine faults and self-stabilization.). Search on Bibsonomy 2010   RDF
18Ad J. van de Goor, Said Hamdioui, Georgi Nedeltchev Gaydadjiev, Zaid Al-Ars New Algorithms for Address Decoder Delay Faults and Bit Line Imbalance Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Piet Engelke Resistive bridging faults - defect-oriented modeling and efficient testing (Resistive bridging faults - defektorientierte Modellierung und effizienter Test) Search on Bibsonomy 2009   RDF
18Peter Fogh Odgaard, Jakob Stoustrup, Palle Andersen, Enrique Vidal Accommodation of Repetitive Sensor Faults - Applied to Surface Faults on Compact Discs. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto March AB, a state-of-the-art march test for realistic static linked faults and dynamic faults in SRAMs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Sudhakar M. Reddy Using dummy bridging faults to define a reduced set of target faults. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Sudhakar M. Reddy Test Enrichment for Path Delay Faults Using Multiple Sets of Target Faults. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Sobeeh Almukhaizim, Peter Petrov, Alex Orailoglu Faults in Processor Control Subsystems: Testing Correctness and Performance Faults in the Data Prefetching Unit. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Sudhakar M. Reddy Location of Stuck-At Faults and Bridging Faults Based on Circuit Partitioning. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault diagnosis, Circuit partitioning
18Richard J. Cole, Bruce M. Maggs, Ramesh K. Sitaraman Reconfiguring Arrays with Faults Part I: Worst-Case Faults. Search on Bibsonomy SIAM J. Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Andrzej Krasniewski, Leszek B. Wronski Tests for path delay faults vs. tests for gate delay faults: how different they are. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Uwe Hübner, Wolfgang Meyer 0002, Heinrich Theodor Vierhaus CMOS transistor faults and bridging faults: Testability by delay effects and overcurrents. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Sudhakar M. Reddy Generalization of independent faults for transition faults. Search on Bibsonomy VTS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18François Darlay Detection of multiple stuck-on/stuck-open faults by single faults test sets in MOS transistor networks. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Jaushin Lee, Janak H. Patel ARTEST: An Architectural Level Test Generator for Data Path Faults and Control Faults. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license