The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Julia Lipman, Quentin F. Stout A performance analysis of local synchronization. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF geometric distribution, stochastic task times, performance analysis, synchronization, heavy-tailed distribution
16Hai Li, Chen-Yong Cher, Kaushik Roy 0001, T. N. Vijaykumar Combined circuit and architectural level variable supply-voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Jingzhao Ou, Viktor K. Prasanna COMA: A COoperative MAnagement Scheme for Energy Efficient Implementation of Real-Time Operating Systems on FPGA Based Soft Processors. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A hybrid energy-estimation technique for extensible processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Karl-Erwin Großpietsch, Tanya A. Silayeva A Combined Safety/Security Approach for Co-Operative Distributed Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Gang Quan, Linwei Niu, Xiaobo Sharon Hu, Bren Mochocki Fixed Priority Scheduling for Reducing Overall Energy on Variable Voltage Processors. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yung-Yuan Chen, Kun-Feng Chen Incorporating Signature-Monitoring Technique in VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
16Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
16Thin-Fong Tsuei, Wayne Yamamoto Queuing Simulation Model for Multiprocessor Systems. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Deependra Talla, Lizy Kurian John, Doug Burger Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bottlenecks in SIMD extensions, hardware address generation, low-overhead looping, superscalar general-purpose processors, performance evaluation, workload characterization, subword parallelism, Media processing, data reorganization
16Theo Ungerer, Borut Robic, Jurij Silc A survey of processors with explicit multithreading. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interleaved multithreading, simultaneous multithreading, Blocked multithreading
16Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Energy Estimation for Extensible Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Joshua J. Yi, David J. Lilja, Douglas M. Hawkins A Statistically Rigorous Approach for Improving Simulation Methodology. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Francisco Rodríguez 0003, José Carlos Campelo, Juan José Serrano A Memory Overhead valuation of the Interleaved Signature Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Michael J. Flynn, Albert A. Liddicoat Technology Trends and Adaptive Computing. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Marek Tudruj, Lukasz Masko Task Scheduling for Dynamically Configurable Multiple SMP Clusters Based on Extended DSC Approach. Search on Bibsonomy PPAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Chia-Lin Yang, Barton Sano, Alvin R. Lebeck Exploiting Parallelism in Geometry Processing with General Purpose Processors and Floating-Point SIMD Instructions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF geometry pipeline, paired-single instructions, 3D graphics, superscalar processors, SIMD instructions
16José Aguilar 0001, Marisela Hernández Fault Tolerance Protocols for Parallel Programs Based on Tasks Replication. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Sathiamoorthy Manoharan, Kim See-Mu A Hardware Scheme for Data Prefetching. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Grzegorz Malewicz, Alexander Russell, Alexander A. Shvartsman Distributed cooperation in the absence of communication (brief announcement). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Klaus Herrmann 0002, Sören Moch, Jörg Hilgenstock, Peter Pirsch Implementation of a Multiprocessor System with Distributed Embedded DRAM on a Large Area Integrated Circuit. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Enric Musoll Predicting the Usefulness of a Block Result: A Micro-Architectural Technique for High-Performance Low-Power Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Micah Adler, John W. Byers, Richard M. Karp Scheduling Parallel Communication: The h-relation Problem. Search on Bibsonomy MFCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Jörg Wilberg, Raul Camposano, Wolfgang Rosenstiel Design flow for hardware/software cosynthesis of a video compression system. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Bernard Lang, Christian Queinnec, José M. Piquer Garbage Collecting the World. Search on Bibsonomy POPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Amotz Bar-Noy, Danny Dolev, Daphne Koller, David Peleg Fault-Tolerant Critical Section Management in Asynchronous Networks. Search on Bibsonomy WDAG The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16John F. Stockton The MC68000 family and distributed processing. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
16James D. Feldman, Louis C. Fulmer RADCAP: an operational parallel processing facility. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16Heon-Mo Koo, Prabhat Mishra 0001 Functional test generation using design and property decomposition techniques. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design decomposition, property decomposition, Model checking, test generation, pipelined processor, functional validation
16Feng Zhang 0015, Sarah Tasneem, Lester Lipsky, Steve Thompson Analysis of round-robin variants: favoring newly arrived jobs. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF foreground-background (FB), last-come-first-served with preemptive resume (LCFSPR), processor sharing (PS), round-robin (RR), shortest remaining processing time (SRPT)
16Turner Whitted, James T. Kajiya, Erik Ruf, Ray Bittner Embedded function composition. Search on Bibsonomy High Performance Graphics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF display processor, representation, large display
16Shih-Lien Lu, Peter Yiannacouras, Taeweon Suh, Rolf Kassa, Michael Konow A Desktop Computer with a Reconfigurable Pentium®. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pentium®, simulator, model, FPGA, architecture, operating system, reconfigurable, emulator, exploration, accelerator, processor
16Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Improving performance and reducing energy-delay with adaptive resource resizing for out-of-order embedded processors. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy-delay, out-of-order embedded processor, resource resizing, performance, architecture
16Ke Meng, Russ Joseph, Robert P. Dick, Li Shang Multi-optimization power management for chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache resizing, voltage/frequency scaling, dynamic power management, chip multi-processor
16Flavius Gruian, Mark Westmijze VHDL vs. Bluespec system verilog: a case study on a Java embedded architecture. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, java processor, Bluespec
16Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 ARISE Machines: Extending Processors with Hybrid Accelerators. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable instruction set processor, custom unit, FPGA, coprocessor
16John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
16Sivakumar Radhakrishnan, Sundaram Chinthamani, Kai Cheng The Blackford Northbridge Chipset for the Intel 5000. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF platform architecture, dual-processor system, northbridge chipset, I/O bridges, FB-DIMM memory technology, low-power design, shared memory
16Rajani Pai, R. Govindarajan FEADS: A Framework for Exploring the Application Design Space on Network Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance Evaluation, petri Nets, design space exploration, network processor, programming model, Cyclic scheduling
16Saina Jalili, Ali Movaghar 0001, Maryam Sadrmousavi An improved replacement algorithm in fault-tolerant meshes. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF fault tolerance, mesh, processor allocation, replacement
16Matt T. Yourst PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF client-server networked benchmark, PTLsim, cycle accurate full system, x86-64 microarchitectural simulator, superscalar x86-64 processor core, full-speed native execution, microarchitectural simulators, x86 ISA, microoperation level, multiprocessor capable simulation, Xen hypervisor, AMD Athlon 64 machine, virtual machine
16Slo-Li Chu Critical Block Scheduling: A Thread-Level Parallelizing Mechanism for a Heterogeneous Chip Multiprocessor Architecture. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Critical Block Scheduling, Octans, Chip Multiprocessor (CMP), Processor-in-Memory
16Kunal Agrawal, Yuxiong He, Charles E. Leiserson Adaptive work stealing with parallelism feedback. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multithreaded languages, parallelism feedback, trim analysis, parallel computation, job scheduling, multiprogramming, processor allocation, work stealing, adaptive scheduling, distributed scheduling, thread scheduling, adversary, space sharing, two-level scheduling
16Alireza Hodjat, Ingrid Verbauwhede Area-Throughput Trade-Offs for Fully Pipelined 30 to 70 Gbits/s AES Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crypto-processor, security, VLSI, cryptography, Advanced Encryption Standard (AES), ASIC, hardware architectures
16Oliver Sinnen, Leonel Augusto Sousa, Frode Eika Sandnes Toward a Realistic Task Scheduling Model. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF processor involvement, heterogeneous system model, Parallel processing, concurrent programming, scheduling and task partitioning
16Luis Ceze, Karin Strauss, James Tuck 0001, Josep Torrellas, Jose Renau CAVA: Using checkpoint-assisted value prediction to hide L2 misses. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF checkpointed processor architectures, multiprocessor, memory hierarchies, Value prediction
16Matthias Kühnemann, Thomas Rauber, Gudula Rünger Optimizing MPI collective communication by orthogonal structures. Search on Bibsonomy Clust. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPI communication operation, Orthogonal processor groups, Modeling of communication time, Parallel application
16Hong Yue, Zhiying Wang 0003, Kui Dai A Heterogeneous Embedded MPSoC for Multimedia Applications. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Transport Triggered Architecture, DSP, Embedded Processor, Heterogeneous MPSoC
16Abeer Hamdy, Ahmed Hussein, Reda A. Ammar An Efficient Workload Allocation to Improve Scheduling Real-Time Tasks. Search on Bibsonomy ISCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Workload allocation, Processing power, scheduling real-time tasks, Processor utilization
16D. Doreen Hephzibah Miriam, T. Srinivasan 0001, R. Deepa 0001 An Efficient SRA Based Isomorphic Task Allocation Scheme for k - ary n - cube Massively Parallel Processors. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Full subcube recognition, k-ary n-cube systems, isomorphic partitioning, processor allocation
16Hyunseok Lee, Trevor N. Mudge, Chaitali Chakrabarti Reducing idle mode power in software defined radio terminals. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF baseband processor, idle mode, wireless terminal, low power, SIMD, SDR, software defined radio
16D. Doreen Hephzibah Miriam, T. Srinivasan 0001 A Fast and Efficient Isomorphic Task Allocation Scheme for K-Ary N-Cube Systems. Search on Bibsonomy DIPES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Full subcube recognition, k-ary n-cube systems, isomorphic partitioning, processor allocation
16Chao Huang 0029, Gengbin Zheng, Laxmikant V. Kalé, Sameer Kumar 0001 Performance evaluation of adaptive MPI. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF processor virtualization, adaptivity, load balancing, MPI, communication optimization
16Ilya Wagner, Valeria Bertacco, Todd M. Austin Shielding against design flaws with field repairable control logic. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware patching, processor verification
16Yunkai Zhou, Harish Sethu On achieving fairness in the joint allocation of processing and bandwidth resources: principles and algorithms. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF max-min, resource allocation, fairness, processor sharing
16James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
16Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Instruction-level test methodology for CPU core self-testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CPU core testing, Instruction level testing, test instruction set, BIST, pipelined processor, software-based self testing
16Vaidyanathan Ramadurai, Sanjay Jinturkar, Mayan Moudgill, John Glossner Implementation of H.264 decoder on Sandblaster DSP. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF H.264-AVC baseline profile decoder, Sandblaster digital signal processor, ANSI C, DSP, optimization technique, software implementation
16Amir Rajabzadeh, Seyed Ghassem Miremadi, Mirzad Mohandespour Error Detection Enhancement in COTS Superscalar Processors with Performance Monitoring Features. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF COTS processors, fault injection, performance monitoring, analytical evaluation, watchdog processor, error detection coverage
16Uwe Kastens, Dinh Khoi Le, Adrian Slowik, Michael Thies Feedback driven instruction-set extension. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulator generation, encryption, network processor, codesign, instruction-set extensions, compiler generation
16Lorenzo Verdoscia CODACS Project: A Development Tool for Embedded System Prototyping. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Application Specific Processor (ASP), FPGA, embedded system, functional programming, dataflow computing
16Slo-Li Chu PSS: A Novel Statement Scheduling Mechanism for a High-Performance SoC Architecture. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pair-Selection Scheduling, Statement Analysis, SoC, Processor-in-Memory, SAGE
16Binu K. Mathew, Al Davis, Michael A. Parker A low power architecture for embedded perception. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer vision, embedded systems, speech recognition, perception, low power design, VLIW, stream processor
16Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge FITS: framework-based instruction-set tuning synthesis for embedded application specific processors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 16-bit ISA, instruction synthesis, low-power, energy efficient, embedded processor, reconfigurable processors, ASP, instruction encoding, configurable architecture, code density
16Nathan Clark, Hongtao Zhong, Wilkin Tang, Scott A. Mahlke Automatic Design of Application Specific Instruction Set Extensions Through Dataflow Graph Exploration. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware customization, embedded system, instruction set, application-specific processor, dataflow graph
16Woo-Cheol Kwon, Taewhan Kim Optimal voltage allocation techniques for dynamically variable voltage processors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, low power design, variable voltage processor
16Ali Akoglu, Aravind Dasu, Arvind Sudarsanam, Mayur Srinivasan, Sethuraman Panchanathan Pattern Recognition Tool to Detect Reconfigurable Patterns in MPEG4 Video Processing. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable media processor, recurring pattern analyzer, mobile multimedia processing, partition, dynamic reconfiguration, reconfigurable architectures, data flow graph, control flow graph, MPEG4, hardware software co-design, hardware software partitioning, routing architecture
16Harald P. E. Vranken Debug Facilities in the TriMedia CPU64 Architecture. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF application debug, VLIW processor, design-for-debug
16Stefan Pees, Andreas Hoffmann 0002, Heinrich Meyr Retargetable compiled simulation of embedded processors using a machine description language. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF HW/SW cosimulation, machine description languages, processor modeling and simulation, system-on-chip, instruction set simulators, compiled simulation, DSP processors
16Scott Rixner, William J. Dally, Brucek Khailany, Peter R. Mattson, Ujval J. Kapasi, John D. Owens Register Organization for Media Processing. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF register organization, register architecture, processor architecture, media processors
16José-Lorenzo Cruz, Antonio González 0001, Mateo Valero, Nigel P. Topham Multiple-banked register file architectures. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bypass logic, register file architecture, register file cache, dynamically-scheduled processor
16Maged M. Michael, Ashwini K. Nanda, Beng-Hong Lim Coherence Controller Architectures for Scalable Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Coherence controller, protocol processor, multiprocessor, shared memory
16Gary S. Tyson, Todd M. Austin Improving the Accuracy and Performance of Memory Communication Through Renaming. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation
16Thomas Stricker, Thomas R. Gross Global Address Space, Non-Uniform Bandwidth: A Memory System Performance Characterization of Parallel Systems. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF nonuniform bandwidth, memory system performance characterization, local memory accesses, remote write, cost benefit model, DEC Alpha based parallel systems, DEC-Alpha processor architecture, DEC 8400, scalability, compiler, parallel systems, empirical evaluation, memory architecture, coherency, cache storage, access pattern, spatial locality, local memory, global address space, Cray T3E, Cray T3D, clock speed
16Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
16Yu-Kwong Kwok, Ishfaq Ahmad Dynamic Critical-Path Scheduling: An Effective Technique for Allocating Task Graphs to Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clustering, Algorithms, multiprocessors, processor allocation, task graphs, list scheduling, parallel scheduling
16Thomas M. Conte, Kishore N. Menezes, Sumedh W. Sathaye A technique to determine power-efficient, high-performance superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-performance superscalar processors, processor performance advances, thermal power dissipation, architectural power estimates, systematic techniques, user benchmarks, architectural component, real estate usage, superscalar execution units, architectural power measurement, near-optimal search, power-efficient superscalar processors, performance evaluation, parallel architectures, simulated annealing, simulated annealing, parallel machines, power consumption, trace-driven simulation
16Ian Watson, Alasdair Rawsthorne Decoupled pre-fetching for distributed shared memory. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial evaluation (compilers), distributed shared memory environment, decoupled pre-fetching, global view, remote memory copies, user annotations, compile-time analysis, run-time prediction, irregular access patterns, dual processor structure, partial program evaluation, data fetches, parallel architectures, parallel machine, shared memory systems, distributed memory systems, memory architecture
16G. N. Srinivasa Prasanna, Anant Agarwal, Bruce R. Musicus Hierarchical Compilation of Macro Dataflow Graphs for Multiprocessors with Local Memory. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchicalcompilation, macro dataflow graphs, macro operations, precedenceconstraints, multiple nested loops, partitioning phase, close-to-optimal run-times, prototype structure-driven compiler, Alewife multiprocessor, simulator, performance evaluation, performance, multiprocessing systems, program compilers, processor allocation, nested loops, local memory, SDC
16Duc J. Vianney, James H. Thomas, Vicki Rabaza The Gould NP1 system interconnecting. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Gould NP1, dual-cpu, processor farm, inter-system bus link, multiprocessor
16T. H. Myer, Ivan E. Sutherland On the design of display processors. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF display channel, display generator, display processor design, display programming, graphic terminal, remote displays, computer graphics, graphics, displays, graphical interaction, display system
16Wei Huang 0004, Karthick Rajamani, Mircea R. Stan, Kevin Skadron Scaling with Design Constraints: Predicting the Future of Big Chips. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF big chips, cooling solution, power, system architecture, processor architecture, temperature, technology scaling, area, design constraints, many-core processor
16Wenbin Fang, Bingsheng He, Qiong Luo 0001, Naga K. Govindaraju Mars: Accelerating MapReduce with Graphics Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF parallel computing, MapReduce, multicore processor, graphics processor, many-core architecture
16Moshe Bach, Mark Charney, Robert Cohn, Elena Demikhovsky, Tevi Devor, Kim M. Hazelwood, Aamer Jaleel, Chi-Keung Luk, Gail Lyons, Harish Patil, Ady Tal Analyzing Parallel Programs with Pin. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Software engineering, Operating systems, Computer architectures, Processor architectures, Computer systems organization, Multiple processor systems
16Ismail Ababneh, Wail Mardini, Hilal Alawneh, Mohammad Hamed, Saad Bani-Mohammad Effects of Allocation Request Shape Changes on Performance in 2D Mesh-Connected Multicomputers. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF contiguous processor allocation, processor fragmentation, turnaround time, interprocessor communication, system utilization, Mesh multicomputers
16Juan Fang, Xiaocui Wang A Prefetching Coordinate Algorithm Which Can Be Used in Multi-core Processors. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching cooordinator, multi-processor prefetching, prefetch, multi-core processor
16Marcio Juliato, Catherine H. Gebotys Tailoring a Reconfigurable Platform to SHA-256 and HMAC through Custom Instructions and Peripherals. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Processor Specialization, SHA-2, Cryptography, HMAC, HW/SW Partitioning, Co-Processor, Custom Instruction
16Tilman Wolf, Russell Tessier Design of a Secure Router System for Next-Generation Networks. Search on Bibsonomy NSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF processor monitor, network security, embedded processor, router design
16Junjie Wu 0003, Xiaohui Pan, Guanghui Liu, Baida Zhang, Xuejun Yang Parallel Data Reuse Theory for OpenMP Applications. Search on Bibsonomy SNPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Intra-processor, Inter-processor, Parallel, Locality, Reuse, OpenMP
16Yefim Dinitz, Shlomo Moran, Sergio Rajsbaum Bit complexity of breaking and achieving symmetry in chains and rings. Search on Bibsonomy J. ACM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bit complexity, processor chain, processor ring, symmetric synchronous execution, Distributed computing, lower bounds, consensus, communication complexity, leader election, communication cost, message complexity, tight bound
16Valentina Salapura, Robert Walkup, Alan Gara Exploiting Workload Parallelism for Performance and Power Optimization in Blue Gene. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF application studies resulting in better multiple-processor systems, super (very large) computers, Blue Gene/L system, architecture, parallelism, parallelism, interprocessor communications, processor architectures, power optimization, computer systems organization, computer system implementation
16Guido Bertoni, Luca Breveglieri, Thomas J. Wollinger, Christof Paar Finding Optimum Parallel Coprocessor Design for Genus 2 Hyperelliptic Curve Cryptosystems. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genus 2, parallelism, embedded processor, hardware architecture, hyperelliptic curve, co-processor
16Johnson Kin, Munish Gupta, William H. Mangione-Smith Filtering Memory References to Increase Energy Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF energy-delay, low power, embedded processor, media processor, Filter cache
16James H. Anderson, Philip Holman Efficient pure-buffer algorithms for real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number
16Moreno Coli, Paolo Palazzari Load Balancing with Internode Precedence Relations: A New Method for Static Allocation of DAGs into Parallel Systems. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF internode precedence relations, static allocation, parallel execution times, performance, parallel algorithms, load balancing, parallel programming, parallel program, resource allocation, NP-complete, parallel machine, directed graphs, DAG, directed acyclic graphs, processor scheduling, software performance evaluation, parallel systems, execution time, processor allocation, mapping algorithms, computational load
16Bülent Abali, Craig B. Stunkel Time synchronization on SP1 and SP2 parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SP2 parallel system, SP1 parallel system, experimental time utility, operating system clocks, node clocks, synchronous feature, parallel program performance measurement, parallel program tuning, parallel program tracing, parallel program debugging, parallel processes, interconnection network, multiprocessor interconnection networks, multiprocessor interconnection networks, parallel machines, parallel machines, synchronisation, synchronisation, processor scheduling, processor scheduling, software performance evaluation, software performance evaluation, program debugging, program debugging, clocks, clocks, operating systems (computers), operating systems (computers), time synchronization, gang scheduling, reduced instruction set computing, reduced instruction set computing
16Lennart Lindh, Johan Stärner, John Furunäs From single to multiprocessor real-time kernels in hardware. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor real-time kernels, single processor real-time kernels, improved performance, improved determinism, integrated deterministic CPU, deterministic multitasking real time kernel, high performance multitasking real time kernel, high performance standalone multitasking real time kernel, deterministic standalone multitasking real time kernel, heterogeneous multiprocessor real-time systems, homogeneous multiprocessor real-time systems, scheduling, performance evaluation, real-time systems, multiprocessing systems, hardware, reconfigurable architectures, processor scheduling, multiprogramming, operating system kernels, firmware
16Scott A. Mahlke, William Y. Chen, Roger A. Bringmann, Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, instruction-level parallelism, instruction scheduling, speculative execution, superscalar processor, VlIW processor
16Yonatan Aumann, Zvi M. Kedem, Krishna V. Palem, Michael O. Rabin Highly Efficient Asynchronous Execution of Large-Grained Parallel Programs Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory space overhead, highly efficient asynchronous execution, large-grained parallel programs, processor instructions, PRAM programs, n-processor asynchronous parallel system, granularity, synchronization mechanisms
Displaying result #801 - #900 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license