The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for 3DIC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2006-2009 (87) 2010 (69) 2011 (109) 2012-2013 (96) 2014 (51) 2015 (83) 2016 (51) 2017-2019 (72) 2021 (22) 2022-2023 (22) 2024 (1)
Publication types (Num. hits)
article(3) incollection(1) inproceedings(649) proceedings(10)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 19 occurrences of 12 keywords

Results
Found 663 publication records. Showing 663 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
81Thorlindur Thorolfsson, Kiran Gonsalves, Paul D. Franzon Design automation for a 3DIC FFT processor for synthetic aperture radar: a case study. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FFT, SAR, TSV, 3DIC
68Nermeen Hossam, John Ferguson Fast, Accurate Assembly-Level Physical Verification of 3DIC Packages. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
68Gopi Neela, Jeffrey Draper Congestion-aware optimal techniques for assigning inter-tier signals to 3D-vias in a 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
68T. Robert Harris, Eric J. Wyers, Lee Wang, Samuel Graham, Georges Pavlidis, Paul D. Franzon, W. Rhett Davis Thermal simulation of heterogeneous GaN/ InP/silicon 3DIC stacks. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
68Vempati Srinivasa Rao IME's capabilities and programs in 2.5D/3DIC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
68Isao Sugaya, Hajime Mitsuishi, Hidehiro Maeda, Kazuya Okamoto New precision wafer bonding technologies for 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
68Neela Gopi, Jeffrey Draper Techniques for assigning inter-tier signals to bondpoints in a face-to-face bonded 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
68Tao Zhang 0032, Guangyu Sun 0003 Using NEM relay to improve 3DIC cost efficiency. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
68Myat Thu Linn Aung, Eric Teck Heng Lim, Takefumi Yoshikawa, Tony Tae-Hyoung Kim Design of capacitive-coupling-based simultaneously bi-directional transceivers for 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
68Naoki Saito 0004, Naokazu Murata, Kinji Tamakawa, Ken Suzuki, Hideo Miura Mechanical and electrical reliability of copper interconnections for 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
68Xin Liu 0015, Lei Wang 0070, Mini Jayakrishnan, Jingjing Lan, Hongyu Li, Chong Ser Choong, M. Kumarasamy Raja, Yongxin Guo, Wang Ling Goh, Jin He, Shan Gao, Minkyu Je A miniaturized heterogeneous wireless sensor node in 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
68Vance Tyree 3DIC multi-project-wafer program: A collaboration to provide fabrication access. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
68Grzegorz Deptuch 3DIC multi-project fabrication run being organized by CMC/CMP/MOSIS and Tezzaron. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
55Paul D. Franzon, W. Rhett Davis, Michael B. Steer, Steve Lipa, Eun Chu Oh, Thorlindur Thorolfsson, Samson Melamed, Sonali Luniya, Tad Doxsee, Stephen Berkeley, Ben Shani, Kurt Obermiller Design and CAD for 3D integrated circuits. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal modeling, TSV, through silicon via, 3DIC
55Hao Hua, Christopher Mineo, Kory Schoenfliess, Ambarish M. Sule, Samson Melamed, Ravi Jenkal, W. Rhett Davis Exploring compromises among timing, power and temperature in three-dimensional integrated circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF trade off, design flow, temperature dependency, 3DIC
42 IEEE International 3D Systems Integration Conference, 3DIC 2023, Cork, Ireland, May 10-12, 2023 Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Jiayi Shen, Chang Liu, Tadaaki Hoshi, Atsushi Sinoda, Hisashi Kino, Tetsu Tanaka, Mariappan Murugesan, Mitsumasa Koyanagi, Takafumi Fukushima Impact of Super-long-throw PVD on TSV Metallization and Die-to-Wafer 3D Integration Based on Via-last. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Slimane Boutobza, Cheng-Wen Wu, Georges G. E. Gielen, Erik Jan Marinissen Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Koutaro Hachiya Measurement Point Selection Algorithms for Testing Power TSVs. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Joshua A. Stevens, Tse-Han Pan, Prasanth Prabu Ravichandiran, Paul D. Franzon Chiplet Set For Artificial Intelligence. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, M. Sawa, E. Sone, Makoto Motoyoshi, Mitsumasa Koyanagi, Takafumi Fukushima Copper Electrode Surface Features and Cu-SiO2Hybrid Bonding. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Mohamed Naeim, Hanqi Yang, Pinhong Chen, Rong Bao, Antoine Dekeyser, Giuliano Sisto, Moritz Brunion, Rongmei Chen, Geert Van der Plas, Eric Beyne, Dragomir Milojevic Design Enablement of 3-Dies Stacked 3D-ICs Using Fine-Pitch Hybrid-Bonding and TSVs. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Somnath Pal, Liang Ye, James O'Callaghan, Fatih Bilge Atar, Cian O'Mathuna, Brian Corbett, Ranajit Sai, Sambuddha Khan A Study on a Tether-Less Approach Towards Micro-Transfer-Printing of Large-Footprint Power Micro-Inductor Chiplets. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Emre Can Durmaz, Carl Heine, Zhibo Cao, Jens Lehmann 0002, Dietmar Kissinger, Matthias Wietstruck SiGe BiCMOS Technology with Embedded Microchannels based on Cu Pillar PCB Integration Enabling sub-THz Microfluidic Sensor Applications. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Erik W. Masselink, Andrew Stark, Benjamin B. Yang, T. Robert Harris Review of Hybrid Integration Techniques for Integrating III-V Onta Silicon. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Pouria Zaghari, Sourish S. Sinha, Jong Eun Ryu, Paul D. Franzon, Douglas C. Hopkins Thermal Cycling and Fatigue Life Analysis of a Laterally Conducting GaN-based Power Package. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42Priyank Kashyap, Prasanth Prabu Ravichandiran, Lee Wang, Dror Baron, Chau-Wai Wong, Tianfu Wu 0001, Paul D. Franzon Thermal Estimation for 3D-ICs Through Generative Networks. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
42 IEEE International 3D Systems Integration Conference, 3DIC 2021, Raleigh, NC, USA, October 26-29, 2021 Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Christophe Dubarry, Lucile Arnaud, Maria-Luisa Calvo-Muñoz, Gaëlle Mauguen, Stéphane Moreau, R. Crochemore, Nicolas Bresson, Bernard Aventurier 3D interconnection using copper direct hybrid bonding for GaN on silicon wafer. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Ziyue Zhang, Yingtao Ding, Baoyan Yang, Anrun Ren, Zhiming Chen 0001 A Low-Cost and Low-Temperature Method to Realize Carbon Nanotube Conductor in Through-Silicon-Via. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Koji Kiyoyama, Yoshihiko Horio, Takafumi Fukushima, Hiroyuki Hashimoto, Takemori Orima, Mitsumasa Koyanagi Design for 3-D Stacked Neural Network Circuit with Cyclic Analog Computing. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Yoshihiko Horio, Takemori Orima, Koji Kiyoyama, Mitsumasa Koyanagi Implementation of a Chaotic Neural Network Reservoir on a TSV/$\mu\text{Bump}$ Stacked 3D Cyclic Neural Network Integrated Circuit. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Prasanth Prabu Ravichandiran, Paul D. Franzon A Review of 3D-Dynamic Random-Access Memory based Near-Memory Computation. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Ankit Kaul, Yandong Luo, Xiaochen Peng, Shimeng Yu, Muhannad S. Bakir Thermal Reliability Considerations of Resistive Synaptic Devices for 3D CIM System Performance. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Liangxing Hu, Simon Chun Kiat Goh, Yu Dian Lim, Peng Zhao, Michael Joo Zhong Lim, Chuan Seng Tan Multi-Die to Wafer Bonding Through Plasma-Activated Cu-Cu Direct Bonding in Ambient Conditions. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Qianli Zhao, W. Rhett Davis A Virtual Platform for Object Detection Systems. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Patrick Krüger, Thomas Voß, Matthias Wietstruck Bond Strength Optimization of Plasma Activated Low Temperature Oxide-Oxide Fusion Bonding Through Thermocycling. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Takafumi Fukushima, Shinichi Sakuyama, Masatomo Takahashi, Hiroyuki Hashimoto, Jichoel Bea, Theodorus Marcello, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi, Mariappan Murugesan Integration of Damage-less Probe Cards Using Nano-TSV Technology for Microbumped Wafer Testing. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Ayano Furue, Satoshi Matsumoto Numerical investigations for 3D power supply on chip by coupling of thermal-fluid, circuit, and electromagnetic field simulations. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, E. Sone, A. Simomura, Makoto Motoyoshi, M. Sawa, K. Fukuda, Mitsumasa Koyanagi, Takafumi Fukushima Cu-Cu Direct Bonding Through Highly Oriented Cu Grains for 3D-LSI Applications. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Shinei Miyasaka, Satoshi Matsumoto Numerical predictions of 3D power-supply on chip taking into considerations of proximity effect. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Lee Baker, Robert Patti, Paul D. Franzon Multi-ANN embedded system based on a custom 3D-DRAM. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Sreejith Kochupurackal Rajan, Ankit Kaul, Gary S. May, Muhannad S. Bakir Electrical and Performance Benefits of Advanced Monolithic Cooling for 2.5D Heterogeneous ICs. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Anthony Mastroianni, Benjamin Kerr, Jawad Nasrullah, Kevin Cameron, Hockshan James Wong, David Ratchkov, Joseph A. Reynick Proposed Standardization of Heterogenous Integrated Chiplet Models. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42Yuske Ogushi, Satoshi Matsumoto Fully integrated transformer less floating gate driver for 3D power supply on chip. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
42 2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019 Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  BibTeX  RDF
42Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Investigation of the Underfill with Negative-Thermal-Expansion Material to Suppress Mechanical Stress in 3D Integration System. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Valeriy Sukharev, Armen Kteyan, Jun-Ho Choy An Accurate Assessment of Chip-Package Interaction is a Key Factor for Designing Resilient 3D IC Systems. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Chia-Hsuan Lee 0003, Hsin-Chi Chang, Jui-Han Liu, Hiroyuki Ito, Young-Suk Kim, Kuan-Neng Chen, Takayuki Ohba Temperature Cycling Reliability of WOW Bumpless Through Silicon Vias. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Jing Tao, Hongyu Li, Peng Zhao, Yu Dian Lim, Anak Agung Alit Apriyana, Chuan Seng Tan Design Considerations and Fabrication Challenges of Surface Electrode Ion Trap with TSV Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Demin Liu, Po-Chih Chen, Yi-Chieh Tsai, Kuan-Neng Chen Low Temperature Cu to Cu Direct Bonding below 150 °C with Au Passivation Layer. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Kei Sumita, Jun Takeyasu, Kimihiko Kato, Kasidit Toprasertpong, Mitsuru Takenaka, Shinichi Takagi Fabrication of High Quality InAs-on-Lnsulator Structures by Smart Cut Process with Reuse of InAs Wafers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Yuuki Araga, Kikuchi Katsuya, Masahiro Aoyagi Electrostatic Shield TSVs to Suppress Coupling Among Stacked ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Taro Matsudaira, Shunsuke Shindo, Tomohiro Shimizu, Takeshi Ito, Shoso Shinguhara, Satoru Shimizu Cu Diffusion Barrier Properties of Various CoWB Electroless Plated Films on SiO2/Si Substrate for Via-last TSV Application. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Han Kyeol Seo, Hae-Sung Park, Sarah Eunkyung Kim Effects of Argon and Nitrogen ion Bombardments on Sputtered and Electroplated Cu Surfaces for Cu Bonding Application. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Sethavut Duangchan, Keisuke Yamamoto, Dong Wang, Hiroshi Nakashima, Akiyoshi Baba SiN used as a Stressor in Germanium-On-Insulator Substrate. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Sungho Lee, Yuki Susumago, Zhengyang Qian, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima Development of 3D-IC Embedded Flexible Hybrid System. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Hanna Soneda, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical Field Test Method of Resistive Open Defects between Dies by Quiescent Currents through Embedded Diodes. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Cheong-Ha Jung, Won Seo, Gu-sung Kim Thermal Stress Tracking in Multi-Die 3D Stacking Structure by Finite Element Analysis. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Akira Matsuzawa A Universal ADC for Sensor Applications. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Wei Feng, Naoya Watanabe, Haruo Shimamoto, Masahiro Aoyagi, Katsuya Kikuchi Thermal Stress Comparison of Annular-Trench-Isolated (ATI) TSV with Cu and Solder Core. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Y. Kagawa, H. Iwamoto 3D Integration Technologies for the Stacked CMOS Image Sensors. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Makoto Motoyoshi, Kohki Yanagimura, Taikoh Fushimi, Shunta Endo Stacked Pixel Sensor/Detector Technology using Au Micro-Bump Junction. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Imed Jani, Didier Lattard, Pascal Vivet, Lucile Arnaud, Edith Beigné Misalignment Analysis and Electrical Performance of High Density 3D-IC interconnects. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Giuliano Sisto, Peter Debacker, Rongmei Chen, Geert Van der Plas, Richard Chou, Eric Beyne, Dragomir Milojevic Design Enablement of Fine Pitch Face-to-Face 3D System Integration using Die-by-Die Place & Route. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Minami Nakayama, Seiya Abe, Satoshi Matsumoto Transformer-Less Floating Gate Driver for 3D Power SoC. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Yuki Miwa, Sungho Lee, Rui Liang, Kousei Kumahara, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Characterization of Low-Height Solder Microbump Bonding for Fine-Pitch Inter-Chip Connection in 3DICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Robert Fischbach, Tilman Horst, Jens Lienig A Graph-Based Model of Micro-Transfer Printing for Cost-Optimized Heterogeneous 2.5D Systems. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Toshiaki Satoh, Hiroyuki Yotsuyanagi, Masaki Hashizume On Delay Elements in Boundary Scan Cells for Delay Testing of 3D IC Interconnection. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Masahide Goto, Joeri De Vos, Toshihisa Watabe, Kei Hagiwara, Masakazu Nanba, Yoshinori Iguchi, Eiji Higurashi, Yuki Honda, Takuya Saraya, Masaharu Kobayashi, Hiroshi Toshiyoshi, Toshiro Hiramoto Triple-Layering Technology for Pixel-Parallel CMOS Image Sensors Developed by Hybrid Bonding of SOI Wafers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Fumihiro Inoue, Julien Bertheau, Samuel Suhard, Alain Phommahaxay, Takuya Ohashi, Tetsuro Kinoshita, Yohei Kinoshita, Eric Beyne Protective Layer for Collective Die to Wafer Hybrid Bonding. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Srinivasan Gopal, Deukhyoun Heo, Tanay Karnik Hierarchical Design Methodology and Optimization for Proximity Communication based Contactless 3D ThruChip Interface. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Hae-Sung Park, Han Kyeol Seo, Sarah Eunkyung Kim Characterization of Nitride Passivated Cu Surface for Low-Temperature Cu-Cu Bonding. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Kwang-Seong Choi, Yong-Sung Eom, Seok Hwan Moon, Jiho Joo, Kwangjoo Lee, Jung Hak Kim, Ju Hyeon Kim Development of Laser-Assisted Bonding with Compression (LABC) Process for 3D IC Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Quy Dinh, Kazuo Kondo, Tetsuji Hirato Reduction of TSV Pumping. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Wei-Hsuan Yang, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun, Shih-Hsu Huang A Built-in Self-Test Scheme for TSVs of Logic-DRAM Stacked 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, Mitsumasa Koyanagi, Takafumi Fukushima Growth Optimization of Multi-Layer Graphene for Thermal-TSV Application in 3D-LSI. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Tsukasa Miura, Masaki Sakakibara, Hirotsugu Takahashi, Tadayuki Taura, Keiji Tatani, Yusuke Oike, Takayuki Ezaki A 6.9 μm Pixel-Pitch 3D Stacked Global Shutter CMOS Image Sensor with 3M Cu-Cu connections. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Yi-Chieh Tsai, Chia-Hsuan Lee 0003, Kuan-Neng Chen Investigation of Low Temperature Cu Pillar Eutectic Bonding for 3D Chip Stacking Technology. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Peter Ramm, Armin Klumpp, Christof Landesberger, Josef Weber, Andy Heinig, Peter Schneider, Günter Elst, Manfred Engelhardt Fraunhofer's Initial and Ongoing Contributions in 3D IC Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42T. Robert Harris, W. Rhett Davis, Steven Lipa, W. Shepherd Pitts, Paul D. Franzon Vertical Stack Thermal Characterization of Heterogeneous Integration and Packages. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Miho Yamada, Shun Ono, Yasuo Arai, Ikuo Kurachi, Toru Tsuboyama, Masayuki Ikebe, Makoto Motoyoshi 3D Integrated Pixel Sensor with Silicon-on-Insulator Technology for the International Linear Collider Experiment. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Ken Suzuki, Ryota Mizuno, Yutaro Nakoshi, Hideo Miura Crystallinity Dependence of Long-Term Reliability of Electroplated Gold Thin-Film Interconnections. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Fan-Hsuan Tang, Hsu-Yu Kao, Shih-Hsu Huang, Jin-Fu Li 0001 3D Test Wrapper Chain Optimization with I/O Cells Binding Considered. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Jubee Tada, Kazuto Takahashi, Ryusuke Egawa A Design Scheme for 3-D Stacked CNN Accelerators. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Koji Sakui, Takayuki Ohba High Bandwidth Memory (HBM) and High Bandwidth NAND (HBN) with the Bumpless TSV Technology. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Koji Kiyoyama, Qian Zhengy, Hiroyuki Hashimoto, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Development of a CDS Circuit for 3-D Stacked Neural Network Chip using CMOS Analog Signal Processing. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Koichi Takemura, Akio Ukita, Yasuhiro Ibusuki, Mitsuru Kurihara, Akihiro Noriki, Takeru Amano, Daisuke Okamoto, Yasuyuki Suzuki, Kazuhiko Kurata Vertical Optical and Electrical Interconnection for Chip-Scale-Packaged Si Photonic Transceivers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Toru Aoki, Katsuyuki Takagi, Toshiyuki Takagi, Hiroki Kase, Akifumi Koike X-ray Photon-Counting Imager with CdTe/Si-LSI Stacking. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Hiroto Tanaka, Yoshiyuki Arai, Toshiyuki Jinda, Noboru Asahi, Katsumi Terada Collective and Gang Bonding for Three-Dimensional Integrated Circuits in Chip-on-Wafer Process. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Amadine Jouve, Loïc Sanchez, Clément Castan, Nicolas Bresson, Frank Fournel, Nicolas Raynaud, Pascal Metzger Die to Wafer Direct Hybid Bonding Demonstration with High Alignment Accuracy and Electrical Yields. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, Mitsumasa Koyanagi, Hiroyuki Hashimoto, Ji Chel Bea, Takafumi Fukushima Fabrication and Morphological Characterization of Nano-Scale Interconnects for 3D-Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Sreejith Kochupurackal Rajan, Ming Jui Li, Muhannad S. Bakir, Gary S. May High Density and Low-Temperature Interconnection Enabled by Mechanical Self-Alignment and Electroless Plating. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Olivier Billoint, Karim Azizi-Mourier, Gerald Cibrario, Didier Lattard, Mehdi Mouhdach, Sébastien Thuries, Pascal Vivet Merging PDKs to Build a Design Environment for 3D Circuits: Methodology, Challenges and Limitations. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Akihiro Noriki, Isao Tamai, Yasuhiro Ibusuki, Akio Ukita, Satoshi Suda, Daisuke Shimura, Yosuke Onawa, Hiroki Yaegashi, Takeru Amano Optical TSV Using Si-Photonics Integrated Curved Micro-Mirror. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Akitsu Shigetou, Tilo H. Yang, C. Robert Kao Hydrolysis-Tolerant Hybrid Bonding in Ambient Atmosphere for 3D Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Koji Hamaguchi, Mitsuki Nakata, Kouta Segawa, Naoya Suzuki, Toshihisa Nonaka Investigation of the Influence of Material Properties on Warpage and Solder Joint Reliability of 2.5D & FO Package. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Chuei-Tang Wang, Douglas Yu Power-Performance Advantages of InFO Technology for Advanced System Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Alit Apriyana Anak Agung, Peng Zhao, Chuan Seng Tan TiN Guard Ring Around TSV for Cross-Talk Suppression of Parallel Networking of Data Center. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Masahiro Nomura Heat Transfer in Nanostructured Si and Heat Flux Control Technique. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 663 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license