The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASIC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (19) 1989 (17) 1990 (24) 1991 (21) 1992 (25) 1993 (16) 1994 (155) 1995 (48) 1996 (54) 1997 (45) 1998 (57) 1999 (50) 2000 (60) 2001 (56) 2002 (80) 2003 (101) 2004 (110) 2005 (138) 2006 (142) 2007 (122) 2008 (140) 2009 (88) 2010 (50) 2011 (330) 2012 (44) 2013 (308) 2014 (50) 2015 (395) 2016 (65) 2017 (343) 2018 (80) 2019 (313) 2020 (64) 2021 (291) 2022 (76) 2023 (305) 2024 (14)
Publication types (Num. hits)
article(599) book(5) incollection(5) inproceedings(3655) phdthesis(24) proceedings(8)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1444 occurrences of 813 keywords

Results
Found 4301 publication records. Showing 4296 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
112Kun-Cheng Wu, Yu-Wen Tsai Structured ASIC, evolution or revolution? Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ASIC, structured ASIC
90A. Richard Newton Technical Challenges of IP and System-on-Chip: The ASIC Vendor Perspective (Panel). Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
74Salman Gopalani, Rajesh Garg, Sunil P. Khatri, Mosong Cheng A lithography-friendly structured ASIC design approach. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ASIC, OPC, lithography
74Ron Wilson, Joe Gianelli, Chris Hamlin, Ken McElvain, Steve Leibson, Ivo Bolson, Rich Tobias, Raul Camposano Structured/platform ASIC apprentices: which platform will survive your board room? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable ASIC platforms, digital design
68Ashutosh Chakraborty, Anurag Kumar 0002, David Z. Pan RegPlace: a high quality open-source placement framework for structured ASICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF global placement, regular ASIC, FPGA, placement, legalization, structured ASIC
59Ashutosh Chakraborty, David Z. Pan PASAP: power aware structured ASIC placement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured ASICS, low power, placement, regular fabrics
59Clive Bittlestone, Anthony M. Hill, Vipul Singhal, N. V. Arvind Architecting ASIC libraries and flows in nanometer era. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nanometer design, libraries, standard cell
59David Sheldon, Frank Vahid Don't forget memories: a case study redesigning a pattern counting ASIC circuit for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, high-throughput design, pattern counting, redesigning circuit, FPGA, design patterns, stream, memory, ASIC
59R. Reed Taylor, Herman Schmit Creating a power-aware structured ASIC. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VPGA, low-power, voltage scaling, power optimization, gate sizing, structured ASIC
52Raul Camposano Will the ASIC survive? Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52C. S. Raghu, Suravi Bhowmik, Poorvaja Ramani, S. Sundaram COST Circuit Optimization SysTem in ASIC Library Development Environment. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Mark J. Bentum, Martin M. Samsom, Cornelis H. Slump A multi-ASIC real-time implementation of the two dimensional affine transform with a bilinear interpolation scheme. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
52Jennifer L. Wong, Farinaz Koushanfar, Miodrag Potkonjak Flexible ASIC: shared masking for multiple media processors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, interconnect, ASIC
46Juanjo Noguera, Luis Baldez, Narcis Simon, Lluis Abello Software-friendly HW/SW co-simulation: an industrial case study. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Alexander Fell, Mythri Alle, Keshavan Varadarajan, Prasenjit Biswas, Saptarsi Das, Jugantor Chetia, S. K. Nandy 0001, Ranjani Narayan Streaming FFT on REDEFINE-v2: an application-architecture design space exploration. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application synthesis, custom instruction extension, dataflow software pipeline, honeycomb, polymorphic asic, runtime reconfiguration, router, NOC
46Srihari Varada, Vitalice K. Oduol, A. Shelat Data Flow and Buffer Management in Multi-Channel Data Link Controller. Search on Bibsonomy LCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF TDM networks, Data flow management, ASIC, Buffer management
46Kaushik De Test methodology for embedded cores which protects intellectual property. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core I/Os, ASIC I/O inaccessibility, partial netlist generation, ASIC level test generation, gate testing, core scan chain, selective boundary scan, coreware design paradigm, logic testing, heuristic algorithm, structural analysis, intellectual property protection, embedded cores, test methodology
44Arindam Mallik, Debjit Sinha, Prithviraj Banerjee, Hai Zhou 0001 Low-Power Optimization by Smart Bit-Width Allocation in a SystemC-Based ASIC Design Environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Beibei Ren, Anru Wang, Joyopriya Bakshi, Kai Liu, Wei Li, Wayne Wei-Ming Dai A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Payman Zarkesh-Ha, S. Lakshminarayann, Ken Doniger, William Loh, Peter Wright Impact of Interconnect Pattern Density Information on a 90nm Technology ASIC Design Flow. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Ranjit Yashwante, Bhalchandra Jahagirdar IEEE 1394a_2000 Physical Layer ASIC. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Po-Yang Hsu, Shu-Ting Lee, Fu-Wei Chen, Yi-Yu Liu Buffer design and optimization for lut-based structured ASIC design styles. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF structured asic, interconnection, buffer insertion
44P. Jayalakshmi, S. Vidya, S. Krishnakumar, K. Ravisankar, P. Kumar A highly testable ASIC for telephone signaling. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telephone equipment, telecommunication signalling, highly testable ASIC, telephone signaling, online system diagnostic functions, integrated circuit testing, design for testability, fault simulation, application specific integrated circuits, integrated circuit design, functional simulation, digital integrated circuits, telephony
44Mark Genoe, Paul Vanoostende, Geert van Wauwe On the use of VHDL-based behavioral synthesis for telecom ASIC design. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Alcatel-Bell, RTL-synthesizable description, behavioral synthesis tools, hardware CAD tool, system level design methodology, telecom ASIC design, telecom system hardware design, high level synthesis, VHDL, VHDL, application specific integrated circuits, ASIC, logic synthesis, integrated circuit design, hardware description languages, integrated logic circuits, behavioral synthesis, telecommunication computing, hardware software codesign, design complexities
42David G. Chinnery, Kurt Keutzer Closing the power gap between ASIC and custom: an ASIC perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, energy, custom, ASIC, comparison, standard cell
42David G. Chinnery, Kurt Keutzer Closing the gap between ASIC and custom: an ASIC perspective. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF custom, ASIC, comparison, clock frequency, clock speed
40Asic Q. Chen, Ruian Shi, Xiang Gao, Ricardo Baptista, Rahul G. Krishnan Structured Neural Networks for Density Estimation and Causal Inference. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Asic Q. Chen, Ruian Shi, Xiang Gao, Ricardo Baptista, Rahul G. Krishnan Structured Neural Networks for Density Estimation and Causal Inference. Search on Bibsonomy NeurIPS The full citation details ... 2023 DBLP  BibTeX  RDF
40Asic Chen, Arno Jacob-sen Distributed ledgers and blockchain: concepts and applications. Search on Bibsonomy CASCON The full citation details ... 2018 DBLP  BibTeX  RDF
40Emran Bajrami, Maida Asic, Emir Cogo, Dino Trnka, Novica Nosovic Performance comparison of simulated annealing algorithm execution on GPU and CPU. Search on Bibsonomy MIPRO The full citation details ... 2012 DBLP  BibTeX  RDF
40Branko Kaucic, Teja Asic Improving introductory programming with Scratch? Search on Bibsonomy MIPRO The full citation details ... 2011 DBLP  BibTeX  RDF
40Vera V. Kovacevic-Vujcic, Miroslav D. Asic Stabilization of Interior-Point Methods for Linear Programming. Search on Bibsonomy Comput. Optim. Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Miroslav D. Asic, Vera V. Kovacevic-Vujcic, Mirjana D. Radosavljevic-Nikolic Asymptotic Behaviour of Karmarkar's Method for Linear Programming. Search on Bibsonomy Math. Program. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
40Steve Alpern, Miroslav D. Asic The search value of a network. Search on Bibsonomy Networks The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
38Jouni Isoaho, Jari Pasanen, Olli Vainio, Hannu Tenhunen DSP system integration and prototyping with FPGAS. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38Steve Vinoski RISE++: A Symbolic Environment for Scan-Based Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38Rehan Hameed, Wajahat Qadeer, Megan Wachs, Omid Azizi, Alex Solomatnikov, Benjamin C. Lee, Stephen Richardson, Christos Kozyrakis, Mark Horowitz Understanding sources of inefficiency in general-purpose chips. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tensilica, energy efficiency, chip multiprocessor, customization, ASIC, h.264, high performance
38Deepak D. Sherlekar Design considerations for regular fabrics. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF structured ASIC, regular fabric
36Eric Chesters Role of the verification team throughout the ASIC development life cycle. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF silicon validation, verification
36Yuejian Wu, Sandy Thomson, Han Sun, Chandra Bontu, Eric Hall Built-in functional tests for fast validation of a 40Gbps coherent optical receiver SoC ASIC. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Dan Feng 0001, Lanxiang Chen, Lingfang Zeng, Zhongying Niu FPGA/ASIC based Cryptographic Object Store System. Search on Bibsonomy IAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Louis Baguena, Emmanuel Liégeon, Alexandra Bépoix, Jean-Marc Dusserre, Christophe Oustric, Philippe Bellocq, Vincent Heiries Development of on board, highly flexible, Galileo signal generator ASIC. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Joachim Pistorius, Mike Hutton, Jay Schleicher, Mihail Iotov, Enoch Julias, Kumara Tharmalingam Equivalence Verification of FPGA and Structured ASIC Implementations. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Zongxing Xie, Thiago Quirino, Mei-Ling Shyu, Shu-Ching Chen ASIC: Supervised Multi-class Classification using Adaptive Selection of Information Components. Search on Bibsonomy ICSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Michael D. Hutton, Richard Yuan, Jay Schleicher, Gregg Baeckler, Sammy Cheung, Kar Keng Chua, Hee Kong Phoo A methodology for FPGA to structured-ASIC synthesis and verification. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Arindam Mallik, Debjit Sinha, Prithviraj Banerjee, Hai Zhou 0001 Smart bit-width allocation for low power optimization in a systemc based ASIC design environment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Conrad H. Ziesler, Joohee Kim, Visvesh S. Sathe 0001, Marios C. Papaefthymiou A 225 MHz resonant clocked ASIC chip. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adiabatic logic, resonant LC tank, single phase, VLSI, CMOS, flip-flop, low energy, clock generator
36Terry Tao Ye, Samit Chaudhuri, F. Huang, Hamid Savoj, Giovanni De Micheli Physical synthesis for ASIC datapath circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Vineet Sahula, C. P. Ravikumar, D. Nagchoudhuri Improvement of ASIC Design Processes. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Mely Chen Chi, Shih-Hsu Huang A Reliable Clock Tree Design Methodology for ASIC Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Clock tree design, Clock tree synthesis
36Gyung-Hae Han, Hwa-Young Yi, Bum-Suk Go, Dong-Geun Lee, In-Haeng Cho, Dong-Il Oh A new ASIC for washer controller. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36D. Craig Wilcox, Lyndon G. Pierson, Perry J. Robertson, Edward L. Witzke, Karl Gass A DES ASIC Suitable for Network Encryption at 10 Gbps and Beyond. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Stefan Sjöholm, Lennart Lindh The need for Co-simulation in ASIC-verification. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Igor Dantas dos Santos Miranda, Ana Isabela Araújo Cunha ASIC design of a novel high performance neuroprocessor architecture for multi layered perceptron networks. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural network arithmetic, neuroprocessor, ASIC
36Andrew Chang 0001, William J. Dally Explaining the gap between ASIC and custom power: a custom perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF custom circuits, normalized metrics, low power, energy efficiency, ASIC, EDA, technology scaling
36Takumi Okamoto, Tsutomu Kimoto, Naotaka Maeda Design methodology and tools for NEC electronics' structured ASIC ISSP. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ISSP, placement, structured ASIC, regular fabric
36Johannes Wolkerstorfer, Elisabeth Oswald, Mario Lamberger An ASIC Implementation of the AES SBoxes. Search on Bibsonomy CT-RSA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standard-cell design, scalability, Very Large Scale Integration (VLSI), pipelining, Advanced Encryption Standard (AES), Application Specific Integrated Circuit (ASIC), inversion, finite field arithmetic
36Arun K. Majumdar, Nirav Patel Design of an ASIC for Straight Line Detection in an Image. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hough Transform, CORDIC, ASIC Design
36Tony Tsang A Compilable Read-Only-Memory Library for ASIC Deep Sub-micron Applications. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF circuit technique, VLSI, compiler, ASIC, deep sub-micron, ROM
36Miodrag Potkonjak, Wayne H. Wolf Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF allocation algorithms, behavioral synthesis techniques, datapath synthesis criteria, multiple computational tasks, multiple-task examples, periodic hard-real time systems, real-time systems, high level synthesis, logic design, application specific integrated circuits, circuit CAD, circuit optimisation, cost optimization, rate-monotonic scheduling, task sharing, synthesis algorithm, ASIC implementation
30Herman Schmit, Amit Gupta, Radu Ciobanu Placement challenges for structured ASICs. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field programmable gate arrays, placement, structured ASICs
30Gin-Der Wu, Zhen-Wei Zhu Chip Design of LPC-cepstrum for Speech Recognition. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Yan Zhang, Jussi Roivainen, Aarne Mämmelä Clock-Gating in FPGAs: A Novel and Comparative Evaluation. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Steve Scott, Dennis Abts, John Kim, William J. Dally The BlackWidow High-Radix Clos Network. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
30Lanxiang Chen, Dan Feng 0001, Lingfang Zeng, Yu Zhang A Direction to Avoid Re-encryption in Cryptographic File Sharing. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, access control, ASIC, cryptographic file system
30Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 Synthesis of application-specific highly efficient multi-mode cores for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, high level synthesis, synthesis, Digital signal processing (DSP), application specific integrated circuits (ASIC), reconfigurable system
30Norbert Pramstaller, Stefan Mangard, Sandra Dominikus, Johannes Wolkerstorfer Efficient AES Implementations on ASICs and FPGAs. Search on Bibsonomy AES Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Advanced Encryption Standard (AES), ASIC
30R. Reed Taylor, Herman Schmit Enabling energy efficiency in via-patterned gate array devices. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VPGA, optimization, low-power, power, voltage scaling, structured ASIC
30David A. Sunderland, Gary L. Duncan, Brad J. Rasmussen, Harry E. Nichols, Daniel T. Kain, Lawrence C. Lee 0002, Brian A. Clebowicz, Richard W. Hollis IV, Larry Wissel, Tad Wilder Megagate ASICs for the Thuraya Satellite Digital Signal Processor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF radiation tolerance, CCGA, reliability, DSP, ASIC, satellite communications, qualification
30B. Suresh, Biswadeep Chaterjee, R. Harinath Synthesizable RAM-Alternative to Low Configuration Compiler Memory for Die Area Reduction. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesizable RAM, Compiler Memory, ASIC library, Die Area Reduction, Testability
30Adrian Evans, Allan Silburt, Gary Vrckovnik, Thane Brown, Mario Dufresne, Geoffrey Hall, Tung Ho, Ying Liu Functional Verification of Large ASICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ASIC verification, simulation, emulation
30Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed
29Yuqing Wu, Sofia Brenes, Tejas Totade, Shijin Joshua, Dhaval Damani, Michel Salim ASIC: algebra-based structural index comparison. Search on Bibsonomy CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF XPath algebra, structural index
29Kamil Erkan Kabak, Cathal Heavey, Vincent Corbett Analysis of multiple process flows in an ASIC fab with a detailed photolithography area model. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Huiju Cheng, Howard M. Heys Compact ASIC implementation of the ICEBERG block cipher with concurrent error detection. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Adarsha Rao, Mythri Alle, S. K. Nandy 0001, Ranjani Narayan Architecture of a polymorphic ASIC for interoperability across multi-mode H.264 decoders. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Katherine Compton, Scott Hauck Automatic Design of Area-Efficient Configurable ASIC Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF logic design and synthesis, Reconfigurable architecture
29Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri A Structured ASIC Design Approach Using Pass Transistor Logic. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Stéphane Badel, Ilhan Hatirnaz, Yusuf Leblebici, Elizabeth J. Brauer Implementation of Structured ASIC Fabric Using Via-Programmable Differential MCML Cells. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Kousuke Yamaoka, Takashi Morimoto, Hidekazu Adachi, Tetsushi Koide, Hans Jürgen Mattausch Image segmentation and pattern matching based FPGA/ASIC implementation architecture of real-time object tracking. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Wayne P. Burleson, Sheng Xu Digital Systems Design with ASIC and FPGA: A Novel Course Using CD/DVD and On-Line Formats. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Hugo Hedberg, Joachim Neves Rodrigues, Fredrik Kristensen, Henrik Svensson, Matthias Kamuf, Viktor Öwall Teaching Digital ASIC Design to Students with Heterogeneous Previous Knowledge. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Qiu-Zhong Wu, Yi-He Sun An Integrated CAD Tool for ASIC Implementation of Multiplierless FIR Filters with Common Sub-expression Elimination Optimization. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Bo Hu, Zhao Li, Lili Zhou, C.-J. Richard Shi, Kwang-Hyun Baek, Myung-Jun Choe Model-compiler based efficient statistical circuit analysis: an industry case study of a 4 GHz/6-bit ADC/DAC/DEMUX ASIC. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29T. S. Ganesh, T. S. B. Sudarshan ASIC Implementation of a Unified Hardware Architecture for Non-Key Based Cryptographic Hash Primitives. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ulrich Heinkel, Claus Mayer, Charles F. Webb, Hans Sahm, Werner Haas 0003, Stefan Gossens An Optimized Flow for Designing High-Speed, Large-Scale CMOS ASIC SoCs. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Chul Kim, Mike Myung-Ok Lee, Byung-Lok Cho, Kamran Eshraghian SOC-B Design and Testing Technique of IS-95C CDMA Transmitter for Measurement of Electric Field Intensity using FPGA and ASIC. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Conrad H. Ziesler, Joohee Kim, Marios C. Papaefthymiou Energy Recovering ASIC Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
29Michael Santarini, Sudhakar Jilla, Mark Miller, Tommy Eng, Sandeep Khanna, Kamalesh N. Ruparel, Tom Russell, Kazu Yamada Whither (or wither?) ASIC handoff? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Donald B. Shaw, Dhamin Al-Khalili, Côme Rozon Deriving accurate ASIC cell fault models for VITAL compliant VHDL simulation. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Alessandro Balboni, Claudio Costi, Massimo Pellencin, Andrea Quadrini, Donatella Sciuto Clock skew reduction in ASIC logic design: a methodology for clock tree management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Kevin P. Acken, Mary Jane Irwin, Robert Michael Owens A Parallel ASIC Architecture for Efficient Fractal Image Coding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Marc Campbell Evaluating ASIC, DSP, and RISC Architectures for Embedded Applications. Search on Bibsonomy LCTES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Ian Gibson, Chris Amies Practical concurrent ASIC and system design and verification. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Klaus D. Müller-Glaser, Jürgen Bortolazzi An Approach to Intelligent Assistance for the Specification of ASIC Design Using Objects and Rules. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
29Ruchir Puri, Leon Stok, John M. Cohn, David S. Kung 0001, David Z. Pan, Dennis Sylvester, Ashish Srivastava, Sarvesh H. Kulkarni Pushing ASIC performance in a power envelope. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, ASIC, high-performance, design optimization
29Kurt Keutzer, Sharad Malik, A. Richard Newton From ASIC to ASIP: The Next Design Discontinuity. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable platforms, Design methodology, Application Specific Integrated Circuits, ASIC, Application Specific Instruction Set Processors, ASIP
Displaying result #1 - #100 of 4296 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license