The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Current with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1960 (21) 1961-1963 (15) 1964-1966 (17) 1967-1970 (15) 1971-1973 (23) 1974 (28) 1975 (26) 1976 (26) 1977 (20) 1978 (50) 1979 (27) 1980 (44) 1981 (30) 1982 (44) 1983 (25) 1984 (50) 1985 (78) 1986 (78) 1987 (94) 1988 (154) 1989 (181) 1990 (238) 1991 (142) 1992 (276) 1993 (279) 1994 (374) 1995 (446) 1996 (501) 1997 (598) 1998 (868) 1999 (1162) 2000 (1554) 2001 (1757) 2002 (2235) 2003 (2589) 2004 (3772) 2005 (4410) 2006 (5210) 2007 (5389) 2008 (5562) 2009 (3626) 2010 (1391) 2011 (841) 2012 (1029) 2013 (1086) 2014 (1197) 2015 (1353) 2016 (1299) 2017 (1307) 2018 (1398) 2019 (1661) 2020 (1610) 2021 (1774) 2022 (1608) 2023 (1711) 2024 (473)
Publication types (Num. hits)
article(16420) book(33) data(20) incollection(695) inproceedings(44396) phdthesis(89) proceedings(119)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 41568 occurrences of 12452 keywords

Results
Found 61775 publication records. Showing 61772 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
96Jian Liu, Rafic Z. Makki Power supply current detectability of SRAM defects. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF short-circuit currents, fault currents, power supply circuits, power supply current detectability, SRAM defects, SRAM cell, power supply current, I/sub DDQ/, quiescent power supply current, i/sub DDT/, transient power supply current, shorts, disturb-type pattern sensitivity, total current leakage, SRAM size, current detectability, large circuit effects, simulation, fault diagnosis, leakage currents, transients, SRAM chips, open defects, electric current measurement, physical defect
69Jaume A. Segura 0001, Miquel Roca 0001, Diego Mateo, Antonio Rubio 0001 An approach to dynamic power consumption current testing of CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic power consumption current testing, logic behavior, parametric defect, quiescent power supply current testing, consumption current testing time, on-chip sensor, static power consumption, fault diagnosis, logic testing, integrated circuit testing, automatic testing, adders, CMOS logic circuits, I/sub DDQ/ testing, CMOS ICs, full adders, open defects, electric current measurement, bridging defects, transient current
63Radu Muresan, Catherine H. Gebotys Instantaneous current modeling in a complex VLIW processor core. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction-level current model, current and power measurement in a processor, instantaneous current model, power and energy model
60Bartomeu Alorda, Vincent Canals, Jaume Segura 0001 A Two-Level Power-Grid Model for Transient Current Testing Evaluation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF current based testing, off-chip current monitors, built-in current monitors, power grid modeling, transient current
60Cheng-Ping Wang, Chin-Long Wey Test Generation Of Analog Switched-Current Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switched current circuits, analog switched-current circuits, current switches, voltage switches, noncatastrophic faults, transistor switches, full testability, current copiers, stray inductance, CMOS switch, BIST design, fault model, circuit simulation, macromodel, switched-capacitor circuits, test sequence generation, catastrophic faults
55Bartomeu Alorda, Jaume Segura 0001 An Evaluation of Built-in vs. Off-chip Strategies for On-line Transient Current Testing. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Current based testing, off-chip current monitors, built-in current monitors, power grid modeling, transient current
55Keith A. Bartels, Jay L. Fisher Multifrequency eddy current image processing techniques for nondestructive evaluation. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF eddy current testing, nondestructive evaluation, multifrequency eddy current image processing, materials evaluation, eddy current images, image formation physics, eddy current testing, complex valued images, SNR maximization, four-frequency processing, algorithm, image sequences, image sequence, signal-to-noise ratio, experimental data
52Yolanda Lechuga, Román Mozuelos, Miguel Angel Allende, Mar Martínez, Salvador Bracho Fault Detection in Switched Current Circuits Using Built-in Transient Current Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF transient current test, fault detection, built-in current sensor, supply current monitoring
49Jan Jerabek, Kamil Vrba RF Pure Current-Mode Filters using Current Mirrors and Inverters. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pure current mode, current mirror, current inverter, CMI, GCMI, frequency filter
47Bartomeu Alorda, Sebastià A. Bota, Jaume Segura 0001 A Non-Intrusive Built-In Sensor for Transient Current Testing of Digital VLSI Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Current based testing, built-in current monitors, high-speed measurements, transient current
47Shyang-Tai Su, Rafic Z. Makki Testing of static random access memories by monitoring dynamic power supply current. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Current-testable design, dynamic current monitors, dynamic power supply current, pattern sensitivity, fault modeling
44Tsung-Chu Huang, Min-Cheng Huang, Kuen-Jong Lee Built-in current sensor designs based on the bulk-driven technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bulk-driven current mirror, biasing schemes, low power dissipation, power supply voltage drop, circuit speed degradation, external power supply, 0.3 V, 0.3 ns, accuracy, flexibility, simplicity, built-in current sensor, area overhead, I/sub DDQ/ testing, electric current measurement
43Takahiro Hanyu, Tsukasa Ike, Michitaka Kameyama Integration of asynchronous and self-checking multiple-valued current-mode circuits based on dual-rail differential logic. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dual-rail differential logic, multiple-valued current-mode circuits, asynchronous-control circuit, logic testing, asynchronous circuits, self-checking circuit, current-mode logic, current-mode circuits
43Jason P. Hurst, Adit D. Singh A differential built-in current sensor design for high speed IDDQ testing. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF built-in current sensor design, high speed IDDQ testing, differential architecture, quiescent current detection, BIST environment, n-well technology, MOSIS, 31.25 MHz, VLSI, built-in self test, built-in self-test, integrated circuit testing, design for testability, integrated circuit design, CMOS digital integrated circuits, electric current measurement, 2 micron, electric sensing devices
40Shangquan Liang, Minglun Gao, Yong-Sheng Yin, Honghui Deng A 14-bit 320 MSPS Segmented Current-Steering D/A Converter for High-Speed Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF segmented current-steering, pseudorandom switching sequence, current switch driving circuit, unit current-cell
39Marko Aleksic, Nikola Nedovic, K. Wayne Current, Vojin G. Oklobdzija A New Model for Timing Jitter Caused by Device Noise in Current-Mode Logic Frequency Dividers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Norbert Herencsar, Kamil Vrba Tunable Current-Mode Multifunction Filter Using Universal Current Conveyors. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF tunable filter, multifunction filter, Universal Current Conveyor, simulation model UCC, current-mode circuit
39Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Bridging Defects Based on Current Signatures at Low Power Supply Voltages. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Current Based Diagnosis, Current Signatures, I_DDQ, Very Low Voltage, CMOS, Bridging Defect
38Javier Argüelles, María José López, J. Blanco, Mar Martínez, Salvador Bracho Iddt testing of continuous-time filters. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF continuous time filters, continuous-time filters, design-for-test methodology, dynamic supply current consumption, dynamic current, partitioning methodology, test reliability, built-in self test, integrated circuit testing, design for testability, automatic testing, CMOS, automatic test equipment, built-in current sensor, CMOS analogue integrated circuits
38Marko Aleksic, Nikola Nedovic, K. Wayne Current, Vojin G. Oklobdzija Jitter Analysis of Nonautonomous MOS Current-Mode Logic Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Jelena Popovic, Borivoje Nikolic, K. Wayne Current, Aleksandra Pavasovic, Dragan Vasiljevic CMOS implementation of low-power oscillators based on the modified Fabre-Normand current conveyor. Search on Bibsonomy ICECS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38K. Wayne Current Current-mode CMOS multiple-valued logic circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38K. Wayne Current Multiple Valued Logic: Current-Mode CMOS Circuits. Search on Bibsonomy ISMVL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
38K. Wayne Current A Current-Mode CMOS Algorithmic Analog-to-Quaternary Converter Circuit. Search on Bibsonomy ISMVL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
38K. Wayne Current, M. E. Hurlston A Bi-Directional Current-Mode CMOS Multiple-Valued Logic Memory Circuit. Search on Bibsonomy ISMVL The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
38Yongjian Brandon Guo, K. Wayne Current Voltage Comparator Circuits for Multiple-Valued CMOS Logic. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF voltage comparator, MVL, low-power, CMOS
38Yehya H. Ghallab, Wael M. Badawy A Novel pH Sensor Current Mode Read-Out Circuit Using Operational Floating Current Conveyor. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits
37Jose Rizo-Morente, Miguel Casas-Sanchez, Chris J. Bleakley Dynamic current modeling at the instruction level. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF current and power measurement in a processor, dynamic instruction-level current model
37Suwon Lee, Sung-Hun Lim Operational Characteristics of Intelligent Dual-Reactor with Current Controlled Inverter. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-reactor, current controlled inverter, fault current, reactive power
37Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of CMOS SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient power supply current (i DDT), transient current sensor, disturb fault, CMOS SRAM
36Ivo Lattenberg, Kamil Vrba Low Input-Impedance Current-Mirror for High-Speed Data Communication. Search on Bibsonomy ICN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current mirror, signal processing, current mode
36Joan Font, J. Ginard, Rodrigo Picos, Eugeni Isern 0001, Jaume Segura 0001, Miquel Roca 0001, Eugenio García A BICS for CMOS OpAmps by Monitoring the Supply Current Peak. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF oscillation test, analog test, Built-In Current Sensor, current test
34Jan Jerabek, Kamil Vrba Novel Universal Filter Using Only Two Current Active Elements. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF current follower, MCMI, pure current mode, universal filter, frequency filter
34Chun-Lung Hsu Control and Observation Structure for Analog Circuits with Current Test Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF current store cell (CSC), controllability, observability, analog circuit, current-mode
34Jens Lienig, Goeran Jerke, Thorsten Adler Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wire width, wire planning, current-driven routing, Design methodology, electromigration, detailed routing, current density, analog circuit design
33David Kubánek, Kamil Vrba Second-Order State-Variable Filter with Current Operational Amplifiers. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Current operational amplifier, Current mode, Active filter
33Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz Leakage current reduction by new technique in standby mode. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF subthreshold current, low power, leakage current, digital integrated circuits, static power
33Yehya H. Ghallab, Wael M. Badawy, Karan V. I. S. Kaler A Novel PH Sensor Using Differential ISFET Current Mode Read-Out Circuit. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits
33Alvernon Walker, Algernon P. Henry, Parag K. Lala An approach for detecting bridging faults in CMOS domino logic circuits using dynamic power supply current monitoring. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bridging faults detection, CMOS domino logic circuits, dynamic power supply current monitoring, CMOS logic circuits, transient current
33Claude Thibeault A novel probabilistic approach for IC diagnosis based on differential quiescent current signatures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF IC diagnosis, probabilistic differential quiescent current signature, noise source, embedded logic, robustness, maximum likelihood estimation, maximum likelihood estimation, IDDQ testing, subthreshold leakage current
32Goonmeet Bajaj, Sean Current, Daniel Schmidt, Bortik Bandyopadhyay, Christopher W. Myers, Srinivasan Parthasarathy 0001 Knowledge Gaps: A Challenge for Agent-Based Automatic Task Completion. Search on Bibsonomy Top. Cogn. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Sean Current, Yuntian He, Saket Gurukar, Srinivasan Parthasarathy 0001 FairMod: Fair Link Prediction and Recommendation via Graph Modification. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
32Sean Current, Yuntian He, Saket Gurukar, Srinivasan Parthasarathy 0001 FairEGM: Fair Link Prediction and Recommendation via Emulated Graph Modification. Search on Bibsonomy EAAMO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Adrienne C. Kinney, Sean Current, Joceline Lega Aedes-AI: Neural Network Models of Mosquito Abundance. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
32Adrienne C. Kinney, Sean Current, Joceline Lega Aedes-AI: Neural network models of mosquito abundance. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Luís Santos 0001, João Manuel Coutinho-Rodrigues, John R. Current An improved heuristic for the capacitated arc routing problem. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32M.-G. Yoon, John R. Current The hub location and network design problem with fixed and variable arc costs: formulation and dual-based solution heuristic. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Kelvin Yuk, Charles McConaghy, Peter R. C. Gascoyne, Jon A. Schwartz, Jody V. Vykoukal, Craig Andrews A High-Voltage SOI CMOS Exciter Chip for a Programmable Fluidic Processor System. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Akio Imai, Etsuko Nishimura, John R. Current A Lagrangian relaxation-based heuristic for the vehicle routing with full container load. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Kelvin Yuk, Charles McConaghy, Peter R. C. Gascoyne, Jon A. Schwartz, Jody V. Vykoukal, Craig Andrews A High-Voltage Integrated Circuit Engine for a Dielectrophoresis-based Programmable Micro-Fluidic Processor. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Yongjian Brandon Guo, K. Wayne Current Low-Power Voltage Comparator Circuit for CMOS Quaternary Logic. Search on Bibsonomy J. Multiple Valued Log. Soft Comput. The full citation details ... 2004 DBLP  BibTeX  RDF
32James W. George, Charles S. Revelle, John R. Current The Maximum Utilization Subtree Problem. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Horst A. Eiselt, John R. Current Special issue on location analysis. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Dragan Maksimovic, Vojin G. Oklobdzija, Borivoje Nikolic, K. Wayne Current Clocked CMOS adiabatic logic with integrated single-phase power-clock supply. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Aamir A. Farooqui, K. Wayne Current, Vojin G. Oklobdzija Partitioned Branch Condition Resolution Logic. Search on Bibsonomy SBCCI The full citation details ... 2000 DBLP  BibTeX  RDF
32Dan Olson, K. Wayne Current Hardware Implementation of "Supplementary Symmetrical Logic Circuit Structure" Concepts. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MVL Hardware, Ternary Addition, MVL Structure, SUS-LOC
32K. Wayne Current Design of a Quaternary Latch Circuit Using a Binary CMOS RS Latch. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF quaternary, memory, circuit, latch
32João Manuel Coutinho-Rodrigues, João C. N. Clímaco, John R. Current An interactive bi-objective shortest path approach: searching for unsupported nondominated solutions. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Charles A. Weber, John R. Current, Anand Desai Non-cooperative negotiation strategies for vendor selection. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32John R. Current, Samuel Ratick, Charles S. Revelle Dynamic facility location when the total number of facilities is uncertain: A decision analysis approach. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Dragan Maksimovic, Vojin G. Oklobdzija, Borivoje Nikolic, K. Wayne Current Clocked CMOS adiabatic logic with integrated single-phase power-clock supply: experimental results. Search on Bibsonomy ISLPED The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Vojin G. Oklobdzija, Dragan Maksimovic Low-Energy Logic Circuit Techniques for Multiple-Valued Logic. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32James F. Parker, K. Wayne Current, Stephen H. Lewis A CMOS continuous-time NTSC-to-color-difference decoder. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
32K. Wayne Current Memory Circuits for Multiple-Valued Logic Voltage Signals. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF memory circuits, multiple valued logic voltage signals, voltage-mode CMOS multiple valued logic memory circuits, polysilicon-gate CMOS technology, SETUP clock mode, HOLD clock mode, multivalued logic circuits, integrated memory circuits, CMOS memory circuits
32John R. Current, Hasan Pirkul, Erik Rolland Efficient Algorithms for Solving the Shortest Covering Path Problem. Search on Bibsonomy Transp. Sci. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
32Wei-Shang Chu, K. Wayne Current Quaternary Multiplier Circuit. Search on Bibsonomy ISMVL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, James F. Parker, Wes Hardaker Block-Diagram-Level Design Capture, Functional Simulation, and Layout Assembly of Analog CMOS ICs. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
32Eric Shieh, K. Wayne Current, Paul J. Hurst, Iskender Agi High-speed computation of the Radon transform and backprojection using an expandable multiprocessor architecture. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
32Hasan Pirkul, John R. Current, Vaidyanathan Nagarajan The Hierarchical Network Design Problem: A New Formulation and Solution Procedures. Search on Bibsonomy Transp. Sci. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32J. Liu, Ziqiang Mao, G. Z. Lu, W. H. Han, Tien C. Hsia, K. Wayne Current, Wei-Shang Chu A new VLSI architecture for real-time control of robot manipulators. Search on Bibsonomy ICRA The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32John R. Current, Charles S. Revelle, Jared L. Cohon An interactive approach to identify the best compromise solution for two objective shortest path problems. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Paul J. Hurst, Eric Shieh, Iskender Agi An evaluation of Radon transform computations using DSP chips. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32Paul J. Hurst, K. Wayne Current, Iskender Agi, Eric Shieh A VLSI architecture for two-dimensional Radon transform computations. Search on Bibsonomy ICASSP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32K. Wayne Current A CMOS Quaternary Threshold Logic Full Adder Circuit with Transparent Latch. Search on Bibsonomy ISMVL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32John R. Current, David A. Schilling The Covering Salesman Problem. Search on Bibsonomy Transp. Sci. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32James M. Apffel, K. Wayne Current, Jorge L. C. Sanz, Anil K. Jain 0002 An architecture for region boundary extraction in raster scan images suitable for VLSI implementation. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Stephen G. Azevedo, James M. Brase, Harry E. Martz, Anil K. Jain 0002, K. Wayne Current, Paul J. Hurst A Radon transform computer for multidimensional signal processing. Search on Bibsonomy ICASSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32John R. Current The Design of a Hierarchical Transportation Network with Transshipment Facilities. Search on Bibsonomy Transp. Sci. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32J. R. Parkhurst, K. Wayne Current, Anil K. Jain 0002, J. E. Grishaw A unified DCT/IDCT architecture for VLSI implementation. Search on Bibsonomy ICASSP The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32James L. Mangin, K. Wayne Current Characteristics of Prototype CMOS Quaternary Logic Encoder-Decoder Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
32John R. Current Discrete computational structures, second edition, By Robert R. Korfhage, Academic Press, Inc., Orlando, FI, 1984, 360 pp. Price $35.00. Search on Bibsonomy Networks The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
32Stephen B. Haley, K. Wayne Current Response change in linearized circuits and systems: Computational algorithms and applications. Search on Bibsonomy Proc. IEEE The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
32Jared L. Cohon, Charles S. Revelle, John R. Current, Thomas Eagles, Russell C. Eberhart, Richard L. Church Application of a multiobjective facility location model to power plant siting in a six-state region of the U.S. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
32K. Wayne Current High Density Integrated Computing Circuitry with Multiple Valued Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
32K. Wayne Current A High Data-Rate Digital Output Correlator Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF Digital correlators, latched quaternary threshold logic full adders, multiple valued logic, threshold logic, parallel counters
32K. Wayne Current Pipelined Binary Parallel Counters Employing Latched Quaternary Logic Full Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF quaternary threshold logic full adders, Multiple-valued logic, threshold logic, parallel counters
32K. Wayne Current, Douglas A. Mow Implementing Parallel Counters with Four-Valued Threshold Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Four-valved logic full adders, multivalued logic, threshold logic, parallel counters
32K. Wayne Current, Douglas A. Mow, S. Youssef-Digaleh A high data rate, low power all-digital correlation circuit design. Search on Bibsonomy ICASSP The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Douglas A. Mow Parallel counter design using four-valued threshold logic. Search on Bibsonomy ICASSP The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
32K. Wayne Current, Douglas A. Mow Applications of multivalued threshold logic in large-scale-intergrated, digital signal processing circuits. Search on Bibsonomy MVL The full citation details ... 1978 DBLP  BibTeX  RDF
32K. Wayne Current, Douglas A. Mow Four-valued threshold logic full adder circuit implementations. Search on Bibsonomy MVL The full citation details ... 1978 DBLP  BibTeX  RDF
31Genival Mariano de Araujo, Heider Marconi G. Madureira, José Camargo da Costa Design and characterization of a 0.35 micron CMOS voltage-to-current converter. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage-to-current converter, system on chip, current reference
31David Kubánek, Kamil Vrba Second-Order Multifunction Filters with Current Operational Amplifiers. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current operational amplifier, current mode, active filter
31Hans A. R. Manhaeve, Johan Verfaillie, B. Straka, J. P. Cornil Application of Supply Current Testing to Analogue Circuits, Towards a Structural Analogue Test Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF supply current test, I DD, I DDX monitor, analog test, structural test, mixed-signal test, current monitor
31Rodrigo Picos, Miquel Roca 0001, Eugeni Isern 0001, Jaume Segura 0001, Eugenio García-Moreno Experimental Results on BIC Sensors for Transient Current Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient current testing, i(t), defect detection, built-in current sensor
31Y. Tsiatouhas, Th. Haniotakis, Dimitris Nikolos A Compact Built-In Current Sensor for IDDQ Testing. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Bridging and Stuck-on fault testability, Design for testability, DFT, IDDQ testing, Built in current sensors, BICS, Current monitoring
31Maneesha Dalmia, André Ivanov, Sassan Tabatabaei Power supply current monitoring techniques for testing PLLs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF power supply current monitoring, PLL testing, digital IC, VCO testing, analogue circuit testing, fault detection, phase locked loops, phase-locked loops, current testing, nonlinear circuits, mixed-signal ICs
31Mostafa I. H. Abd-El-Barr, Muhammad Nayyar Hasan New MVL-PLA Structures Based on Current-Mode CMOS Technology. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MVL-PLA structures, current-mode CMOS technology, PLA structures, min, tsum, constants, r-valued one-variable functions, type-C PLA, type-A PLA, type-B PLA, cyclic generator blocks, programmable logic arrays, programmable logic arrays, CMOS logic circuits, cycle, multivalued logic circuits, multivalued logic circuits, current-mode logic
31Stephan P. Athan, David L. Landis, Sami A. Al-Arian A novel built-in current sensor for IDDQ testing of deep submicron CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF deep submicron CMOS ICs, fault diagnosability, ULSI CMOS, fault diagnosis, integrated circuit testing, fault detectability, CMOS integrated circuits, leakage currents, built-in current sensor, I/sub DDQ/ testing, electric current measurement, ULSI, electric sensing devices
31Eckhard Grass, Simon Jones Asynchronous circuits based on multiple localised current-sensing completion detection. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dual rail coding, Current-Sensing Completion Detection, Current-Sensing Circuits, logic design, power consumption, asynchronous circuits, asynchronous circuits, granularity, parallel multiplier, BiCMOS
Displaying result #1 - #100 of 61772 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license