The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase leakage-power (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2001 (20) 2002 (40) 2003 (43) 2004 (73) 2005 (107) 2006 (117) 2007 (110) 2008 (106) 2009 (75) 2010 (45) 2011 (19) 2012 (18) 2013 (17) 2014-2015 (22) 2016-2017 (16) 2018-2020 (17) 2021-2023 (21) 2024 (1)
Publication types (Num. hits)
article(181) book(1) incollection(1) inproceedings(682) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 745 occurrences of 330 keywords

Results
Found 867 publication records. Showing 867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
236Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
198David T. Blaauw, Anirudh Devgan, Farid N. Najm Leakage power: trends, analysis and avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
97Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001, Wenping Wang Accurate Stacking Effect Macro-Modeling of Leakage Power in Sub-100nm Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
96Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Gate-length biasing for runtime-leakage control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
93Jason Helge Anderson, Farid N. Najm Active leakage power optimization for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
90Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
90Kamal S. Khouri, Niraj K. Jha Leakage power analysis and reduction during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
83Nasir Mohyuddin, Rashed Zafar Bhatti, Michel Dubois 0001 Controlling leakage power with the replacement policy in slumberous caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF tranquility level, leakage power, replacement policy, drowsy cache
82Min Ni, Seda Ogrenci Memik Thermal-induced leakage power optimization by redundant resource allocation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
78Songqing Zhang, Vineet Wason, Kaustav Banerjee A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF die-to-die variations, electrothermal couplings, subthreshold leakage power distribution, yield estimation, process variations, within-die variations
78Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compilers for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compilers for low power, power-gating mechanisms, leakage-power reduction
78Debasis Samanta, Ajit Pal Synthesis of Dual-VT Dynamic CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nora logic, unate decomposition, low power, Logic synthesis, high performance, leakage power, domino logic, dynamic circuits, dual-VT
78Nam Sung Kim, David T. Blaauw, Trevor N. Mudge Quantitative analysis and optimization techniques for on-chip cache leakage power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
77Yuan-Shin Hwang, Jia-Jhe Li Snug set-associative caches: Reducing leakage power of instruction and data caches with no performance penalties. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Caches, leakage power, drowsy caches, cache decay
77Houman Homayoun, Avesta Sasan, Aseem Gupta, Alexander V. Veidenbaum, Fadi J. Kurdahi, Nikil D. Dutt Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, sram memory, temperature reduction, leakage power
76Andrew B. Kahng, Sudhakar Muddu, Puneet Sharma Defocus-Aware Leakage Estimation and Control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
75Chengyi Zhang, Hongwei Zhou, Minxuan Zhang, Zuocheng Xing An Architectural Leakage Power Reduction Method for Instruction Cache in Ultra Deep Submicron Microprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodically Drowsy Speculative Recover, Adaptive, Leakage Power, Drowsy cache
74Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Floorplan driven leakage power aware IP-based SoC design space exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, leakage power, temperature
73Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
72Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir Analytical models for leakage power estimation of memory array structures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF estimation, SRAMs, leakage power
72Wei Wang, Yu Hu 0001, Yinhe Han 0001, Xiaowei Li 0001, You-Sheng Zhang Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF don’t care bits, minimum leakage vector, leakage power, leakage current
71Yan Meng, Timothy Sherwood, Ryan Kastner Leakage power reduction of embedded memories on FPGAs through location assignment. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF location assignment, leakage power, embedded memory
71Zhigang Hu, Stefanos Kaxiras, Margaret Martonosi Let caches decay: reducing leakage energy via exploitation of cache generational behavior. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF generational behavior, Cache memories, leakage power, cache decay
70Zhanping Chen, Mark Johnson, Liqiong Wei, Kaushik Roy 0001 Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
69Yongpan Liu, Robert P. Dick, Li Shang, Huazhong Yang Accurate temperature-dependent integrated circuit leakage power estimation is easy. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
68Houman Homayoun, Ted H. Szymanski Reducing the Instruction Queue Leakage Power in Superscalar Processors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
68Ashesh Rastogi, Kunal P. Ganeshpure, Sandip Kundu A Study on Impact of Leakage Current on Dynamic Power. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
68Rahul Kumar, C. P. Ravikumar Leakage Power Estimation for Deep Submicron Circuits in an ASIC Design Environment. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power Estimation, Leakage Power, Linear Regression, Deep Submicron
66Yan Meng, Timothy Sherwood, Ryan Kastner Exploring the limits of leakage power reduction in caches. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache intervals, leakage power, Limits
66Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee A sink-n-hoist framework for leakage power reduction. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF balanced scheduling, compilers for low power, power-gating mechanisms, data-flow analysis, leakage power reduction
65Xiaoji Ye, Yaping Zhan, Peng Li 0001 Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
65Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi Cache decay: exploiting generational behavior to reduce cache leakage power. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
65Jia-Jhe Li, Yuan-Shin Hwang Snug set-associative caches: reducing leakage power while improving performance. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, set-associative caches
64Hongliang Chang, Sachin S. Sapatnekar Prediction of leakage power under process uncertainties. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, Circuit
64Peng Li 0001, Yangdong Deng, Lawrence T. Pileggi Temperature-Dependent Optimization of Cache Leakage Power Dissipation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
64Hushrav Mogal, Kia Bazargan Microarchitecture floorplanning for sub-threshold leakage reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
64Kanupriya Gulati, Sunil P. Khatri, Peng Li 0001 Closed-loop modeling of power and temperature profiles of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sub-threshold leakage, dynamic power
64Baozhen Yu, Michael L. Bushnell A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power cutoff, standby current, stacking, leakage current, dynamic power
64Jinwen Xi, Peixin Zhong A Transaction-Level NoC Simulation Platform with Architecture-Level Dynamic and Leakage Energy Models. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, SystemC, energy model
63Mark Hempstead, Gu-Yeon Wei, David M. Brooks Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor networks, low power, system architecture, technology scaling, leakage power reduction
62Akhilesh Kumar, Mohab Anis An analytical state dependent leakage power model for FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Shilpa Bhoj, Dinesh Bhatia Early stage FPGA interconnect leakage power estimation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
62Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
62Xuning Chen, Li-Shiuan Peh Leakage power modeling and optimization in interconnection networks. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection networks, leakage power, power optimization
62Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
62Vishal Khandelwal, Ankur Srivastava 0001 Active mode leakage reduction using fine-grained forward body biasing strategy. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF forward body biasing, leakage power optimization
62Lei He 0001, Weiping Liao, Mircea R. Stan System level leakage reduction considering the interdependence of temperature and leakage. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF microarchitecture, leakage power, temperature
61Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry Input Vector Reordering for Leakage Power Reduction in FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Daniel Eckerbert, Per Larsson-Edefors Cycle-true leakage current modeling for CMOS gates. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
61Le Yan, Jiong Luo, Niraj K. Jha Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong Yao Dose map and placement co-optimization for timing yield enhancement and leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dose map, placement, timing yield, leakage power reduction
60Xiaoming Chen 0003, Yu Wang 0002, Yu Cao 0001, Yuchun Ma, Huazhong Yang Variation-aware supply voltage assignment for minimizing circuit degradation and leakage. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic vdd scaling, leakage power, negative bias temperature instability (NBTI), dual vdd
59Feng Gao 0017, John P. Hayes Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Preetham Lakshmikanthan, Adrian Nunez A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
59Wen-Tsong Shiue Leakage power estimation and minimization in VLSI circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
59Ashish Kumar Singh, Murari Mani, Ruchir Puri, Michael Orshansky Gain-based technology mapping for minimum runtime leakage under input vector uncertainty. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage, technology mapping, logical effort
59Nikhil Jayakumar, Sandeep Dhar, Sunil P. Khatri A self-adjusting scheme to determine the optimum RBB by monitoring leakage currents. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, self-adjusting, body-biasing
58Ruijing Shen, Sheldon X.-D. Tan, Jinjun Xiong A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF statistical analysis, spatial correlation, dynamic power
58Chandramouli Gopalakrishnan, Srinivas Katkoori Behavioral synthesis of datapaths with low leakage power. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Andrew B. Kahng, Swamy Muddu, Puneet Sharma Defocus-aware leakage estimation and control. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ACLV, yield, leakage, lithography
58Jun-Cheol Park, Vincent John Mooney III Sleepy Stack Leakage Reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Animesh Kumar, Jan M. Rabaey, Kannan Ramchandran SRAM supply voltage scaling: A reliability perspective. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
57Keunwoo Kim, Koushik K. Das, Rajiv V. Joshi, Ching-Te Chuang Nanoscale CMOS circuit leakage power reduction by double-gate device. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF double-gate device, short-channel effect, leakage power
57Emrah Acar, Anirudh Devgan, Rahul M. Rao, Ying Liu, Haihua Su, Sani R. Nassif, Jeffrey L. Burns Leakage and leakage sensitivity computation for combinational circuits. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF iddq analysis, sensitivity, power estimation, leakage power
57Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
56Hongliang Chang, Sachin S. Sapatnekar Full-chip analysis of leakage power under process variations, including spatial correlations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration
56Stefanos Kaxiras, Polychronis Xekalakis, Georgios Keramidas A simple mechanism to adapt leakage-control policies to temperature. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid leakage mechanism, thermal adaptation, drowsy cache, cache decay
56Xin Li 0001, Jiayong Le, Lawrence T. Pileggi Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical analysis, leakage power
56Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
56Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Tradeoffs between date oxide leakage and delay for dual Tox circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual Tox circuits, leakage power
55Siva G. Narendra Challenges and design choices in nanoscale CMOS. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanoscale, process variation, CMOS, leakage power
55J. Derakhshandeh, Nasser Masoumi, B. Kasiri, Y. Farazmand, Akbarzadeh, S. Aghnoot A Precise Model for Leakage Power Estimation in VLSI Circuits. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Jun-Cheol Park, Vincent John Mooney III, Philipp Pfeiffenberger Sleepy Stack Reduction of Leakage Power. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Liqiong Wei, Zhanping Chen, Kaushik Roy 0001, Mark C. Johnson, Yibin Ye, Vivek De Design and optimization of dual-threshold circuits for low-voltage low-power applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Weiping Liao, Lei He 0001, Kevin M. Lepak Temperature and supply Voltage aware performance and power modeling at microarchitecture level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Avi Mendelson Memory management challenges in the power-aware computing era. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power
54Haihua Su, Frank Liu 0001, Anirudh Devgan, Emrah Acar, Sani R. Nassif Full chip leakage estimation considering power supply and temperature variations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage variation, leakage power, thermal analysis
53Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Leakage-Proof Domino Circuit Design for Deep Sub-100nm Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
53Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
52Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee Compilation for compact power-gating controls. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low power, balanced scheduling, power-gating mechanisms, data-flow analysis, leakage-power reduction
52Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
52Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De, T. M. Mak Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage-Aware Design. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Yann-Hang Lee, Krishna P. Reddy, C. Mani Krishna 0001 Scheduling Techniques for Reducing Leakage Power in Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Shengqi Yang, Wayne H. Wolf, Wenping Wang, Narayanan Vijaykrishnan, Yuan Xie 0001 Low-leakage robust SRAM cell design for sub-100nm technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Jinhui Wang, Lei Zuo, Na Gong, Daming Gao, Shuqin Geng, Wang Zhang, Ligang Hou, Xiaohong Peng, Wuchen Wu Estimation for Speed and Leakage Power of Dual Threshold Domino OR Based on Wavelet Neural Networks. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dual threshold domino OR, Leakage power, Speed, Wavelet Neural Networks
51Xiaoyong Tang, Hai Zhou 0001, Prithviraj Banerjee Leakage power optimization with dual-Vth library in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vth, optimization, high-level synthesis, leakage power
51Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
51Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device and architecture considerations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forward body-biasing, super high VT, SRAM, leakage power
51Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
50Ashish Srivastava, Robert Bai, David T. Blaauw, Dennis Sylvester Modeling and analysis of leakage power considering within-die process variations. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF variability, Monte Carlo, leakage current
50Houman Homayoun, Alexander V. Veidenbaum Reducing leakage power in peripheral circuits of L2 caches. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 867 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license