The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1980 (15) 1981-1985 (22) 1986-1988 (22) 1989-1990 (30) 1991 (16) 1992 (16) 1993 (26) 1994 (24) 1995 (33) 1996 (38) 1997 (53) 1998 (54) 1999 (49) 2000 (66) 2001 (80) 2002 (83) 2003 (99) 2004 (138) 2005 (159) 2006 (180) 2007 (179) 2008 (222) 2009 (137) 2010 (124) 2011 (131) 2012 (109) 2013 (117) 2014 (116) 2015 (137) 2016 (129) 2017 (151) 2018 (162) 2019 (192) 2020 (183) 2021 (188) 2022 (178) 2023 (166) 2024 (43)
Publication types (Num. hits)
article(1906) book(3) data(1) incollection(16) inproceedings(1901) phdthesis(39) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 693 keywords

Results
Found 3867 publication records. Showing 3867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
104Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Global interconnect sizing and spacing with consideration of coupling capacitance. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF GISS solutions, asymmetric wire sizing, delay reduction, fringing capacitances, global interconnect sizing and spacing, global wire sizing, interconnect delay minimization, multiple nets, optimal wire sizing, spacing solution, symmetric effective fringing properties, VLSI, coupling capacitance
86Puneet Gupta 0001, Andrew B. Kahng, Amarnath Kasibhatla, Puneet Sharma Eyecharts: constructive benchmarking of gate sizing heuristics. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic programming, benchmarking, gate sizing
80Chung-Ping Chen, D. F. Wong 0001 Optimal Wire-Sizing Function with Fringing Capacitance Consideration. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
78Lieven Vandenberghe, Stephen P. Boyd, Abbas El Gamal Optimal wire and transistor sizing for circuits with non-tree topology. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimal circuit sizing, crosstalk, Elmore delay, clock distribution networks
75Jason Cong, Lei He 0001 An efficient approach to simultaneous transistor and interconnect sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CH-posynomial programs, STIS, driver/buffer, transistor and interconnect sizing, wire sizing problem, circuit CAD, transistor sizing
72Chris C. N. Chu, D. F. Wong 0001 Closed form solutions to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF buffer insertion, buffer sizing, closed form solution, interconnect optimization, wire sizing
70Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
70Narender Hanchate, Nagarajan Ranganathan Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, gate sizing, transmission lines, crosstalk noise, interconnect models, interconnect delay
69Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
69Alain Abran, Marcela Maya A sizing measure for adaptive maintenance work products. Search on Bibsonomy ICSM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF software sizing measure, adaptive maintenance work products, productivity analysis, sizing technique, software maintenance, software maintenance, software metrics, organization, granularity, software development management, software cost estimation, human resource management, Function Points, productivity models
66Ashutosh Chakraborty, David Z. Pan On stress aware active area sizing, gate sizing, and repeater insertion. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, buffer, sizing, stress, repeater
64Robert Schwencker, Josef Eckmüller, Helmut E. Graeb, Kurt Antreich Automating the Sizing of Analog CMOS Circuits by Consideration of Structural Constraints. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
64Chris C. N. Chu, D. F. Wong 0001 VLSI Circuit Performance Optimization by Geometric Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF unary geometric programming, circuit performance optimization, VLSI design, Lagrangian relaxation, gate sizing, transistor sizing, wire sizing
63Narender Hanchate, Nagarajan Ranganathan A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, transmission lines, crosstalk noise, interconnect models, wire sizing, interconnect delay
63William Roshan Quadros, Ved Vyas, Michael L. Brewer, Steven J. Owen, Kenji Shimada A Computational Framework for Generating Sizing Function in Assembly Meshing. Search on Bibsonomy IMR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Assembly meshing, finite element mesh sizing function, pre-mesh, skeleton
61Chung-Ping Chen, Hai Zhou 0001, D. F. Wong 0001 Optimal non-uniform wire-sizing under the Elmore delay model. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Elmore delay model, IBM RS/6000 workstation, NWSA-db, NWSA-md, NWSA-wd algorithm, general routing trees, maximum sink delay, minimization objectives, optimal nonuniform wire sizing, routing-tree problem, sink-delay bounds, total area, total weighted sink-delays, wire-sizing formula, circuit analysis computing, Lagrangian relaxation
61Lieven Vandenberghe, Stephen P. Boyd, Abbas A. El Gamal Optimizing dominant time constant in RC circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
60Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Chris C. N. Chu, D. F. Wong 0001 A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Simultaneous buffer and wire sizing, buffer sizing, interconnect optimization, wire sizing
57Vishal Khandelwal, Ankur Srivastava 0001 Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Murari Mani, Mahesh Sharma, Michael Orshansky Application of fast SOCP based statistical sizing in the microprocessor design flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Chris C. N. Chu, D. F. Wong 0001 Greedy wire-sizing is linear time. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
57Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
55Jiang Wu, Zhizhong Li 0003, Jianwei Niu 0003 A 3D Method for Fit Assessment of a Sizing System. Search on Bibsonomy HCI (11) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fit assessment, sizing system, 3D modeling
55Paul G. A. Jespers Sizing CMOS circuits by means of the gm/ID methodology and a compact model. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF circuit sizing
55Mehdi Hakimi, Seyyed Masoud Moghaddas Tafreshi, M. R. Rajati Unit Sizing of a Stand-Alone Hybrid Power System Using Model-Free Optimization. Search on Bibsonomy GrC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reformer, hybrid power system, optimal sizing, particle swarm optimization I. NOMENCLATURE P conv wg - Power delivered from wind turbines to converter (kw) P el wg - Power delivered from wind turbines to electrolyzer (kw) P k el tan - Power delive, K. N. Toosi University of Technology, Tehran-Iran (e-mail: sm_hakimi@yahoo.com). S.M.M.Tafreshi is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: tafreshi@eetd.kntu.ac.ir). M. R. Rajati is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: mohammadreza.rajati@gmail.com). P wt Power generated by wind turbines (kw) Pload Load power (kw) E k tan Stored energy in the hydrogen tank (kwh) fc, el, conv Efficiency of fuel cell, electrolyzer, converter NPCindex Net present cost (the index shows the corresponding component) ($) S Single-payment present worth factor R Life time of project (year) L Life time of each components (year) Ir Inter, fuel cell, wind turbine
55Debjit Sinha, Hai Zhou 0001, Chris C. N. Chu Optimal gate sizing for coupling-noise reduction. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coupling-noise, gate-sizing, lattice theory, fixpoint
55Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-route gate sizing for crosstalk noise reduction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crosstalk noise repair, gate sizing
55Takumi Okamoto, Jason Cong Buffered Steiner tree construction with wire sizing for interconnect layout optimization. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Steiner Tree, Buffer Insertion, Interconnect Optimization, Wire Sizing
55Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications
55Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru An iterative gate sizing approach with accurate delay evaluation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay evaluation, linear program, iteration, gate sizing
53Charles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay Interconnect synthesis without wire tapering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and wire sizing for two-pin nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and uniform wire sizing for single long wires. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Zhuo Li 0001, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia Fast interconnect synthesis with layer assignment. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, wire sizing, layer assignment, interconnect synthesis
49Foad Dabiri, Ani Nahapetian, Tammara Massey, Miodrag Potkonjak, Majid Sarrafzadeh General Methodology for Soft-Error-Aware Power Optimization Using Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann Sizing Rules for Bipolar Analog Circuit Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Zhuo Li 0001, Nancy Ying Zhou, Weiping Shi Wire Sizing for Non-Tree Topology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Lakulish Antani, Christophe Delage, Pierre Alliez Mesh Sizing with Additively Weighted Voronoi Diagrams. Search on Bibsonomy IMR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Jia Wang 0003, Debasish Das, Hai Zhou 0001 Gate sizing by Lagrangian relaxation revisited. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Yu-Hui Huang, Po-Yuan Chen, TingTing Hwang Switching-activity driven gate sizing and Vth assignment for low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Narender Hanchate, Nagarajan Ranganathan A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Yue Chen, Barry W. Boehm, Raymond J. Madachy, Ricardo Valerdi An Empirical Study of eServices Product UML Sizing Metrics. Search on Bibsonomy ISESE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Ashok K. Murugavel, N. Ranganathan Gate Sizing and Buffer Insertion using Economic Models for Power Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Marko Loparic, Hugues Marchand, Laurence A. Wolsey Dynamic knapsack sets and capacitated lot-sizing. Search on Bibsonomy Math. Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Francky Leyn, Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen A behavioral signal path modeling methodology for qualitative insight in and efficient sizing of CMOS opamps. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral signal path, incremental modeling, small-signal, sequential design space pruning, minimax optimization
49Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
49Edward G. Rodgers Software sizing problems in software engineering metrics. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
48Wei Xu 0021, Yiran Chen 0001, Xiaobin Wang, Tong Zhang 0002 Improving STT MRAM storage density through smaller-than-worst-case transistor sizing. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF STT MRAM, defect tolerance, transistor sizing
48Claudio Fabiano Motta Toledo, Paulo Morelato França, Kalianne Almeida Rosa Evaluating genetic algorithms with different population structures on a lot sizing and scheduling problem. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-population, soft drink company, genetic algorithms, scheduling, lot sizing
48Koustav Bhattacharya, Nagarajan Ranganathan A linear programming formulation for security-aware gate sizing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic power variance, linear programming., path balancing, differential power analysis, gate sizing
48Yongjian Li, Jian Chen, Xiaoqiang Cai An integrated staff-sizing approach considering feasibility of scheduling decision. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Staff-sizing, Staff flexibility, Multiple objective linear programming (MOLP), Scheduling, Planning
48Fabrizio Marinelli 0001, Maria Elena Nenni, Antonio Sforza Capacitated lot sizing and scheduling with parallel machines and shared buffers: A case study in a packaging company. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scheduling, Packaging, Lot sizing
48Yongpei Guan, Shabbir Ahmed 0001, George L. Nemhauser, Andrew J. Miller A branch-and-cut algorithm for the stochastic uncapacitated lot-sizing problem. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stochastic Lot-Sizing, Multi-stage Stochastic Integer Programming, Polyhedral Study, Branch-and-Cut
48Fernando G. Lobo, Cláudio F. Lima A review of adaptive population sizing schemes in genetic algorithms. Search on Bibsonomy GECCO Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, population sizing, parameter setting
48Hiran Tennakoon, Carl Sechen Efficient and accurate gate sizing with piecewise convex delay models. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF piecewise convex, optimization, Lagrangian relaxation, gate sizing, delay modeling
48Jaskirat Singh, Vidyasagar Nookala, Zhi-Quan Luo, Sachin S. Sapatnekar Robust gate sizing by geometric programming. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF posynomial, uncertainty ellipsoid, optimization, gate sizing, geometric program
48William Roshan Quadros, Kenji Shimada, Steven J. Owen Skeleton-based computational method for the generation of a 3D finite element mesh sizing function. Search on Bibsonomy Eng. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Finite element mesh sizing function, Skeleton, Octree, Medial axis transform
48Ted J. Wasserman, Patrick Martin 0001, David B. Skillicorn, Haider Rizvi Developing a characterization of business intelligence workloads for sizing new database systems. Search on Bibsonomy DOLAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clustering, workload characterization, business intelligence, sizing, capacity planning
48David Nguyen, Abhijit Davare, Michael Orshansky, David G. Chinnery, Brandon Thompson, Kurt Keutzer Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual threshold, sizing, dual supply voltage, simultaneous
48Chang Woo Kang, Soroush Abbaspour, Massoud Pedram Buffer sizing for minimum energy-delay product by using an approximating polynomial. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF short circuit energy, buffer sizing, polynomial approximation
48M. Y. Y. Leung, John C. S. Lui, Leana Golubchik Use of Analytical Performance Models for System Sizing and Resource Allocation in Interactive Video-on-Demand Systems Employing Data Sharing Techniques. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data sharing techniques, resource allocation, Video-on-demand, system sizing
48Satyamurthy Pullela, Rajendran Panda, Abhijit Dharchoudhury, Gopal Vija CMOS Combinational Circuit Sizing by Stage-wise Tapering. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF tapering, Transistor sizing, resynthesis
45I-Min Liu, Adnan Aziz Delay Constrained Optimization by Simultaneous Fanout Tree Construction, Buffer Insertion/Sizing and Gate Sizing. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Chris C. N. Chu, Martin D. F. Wong A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Xiaochun Zhu, Bo Zhou 0010, Lu Chen Software testing sizing in incremental development: A case study. Search on Bibsonomy ESEM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Joel Sommers, Paul Barford, Albert G. Greenberg, Walter Willinger An SLA perspective on the router buffer sizing problem. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Sanghamitra Roy, Yu Hen Hu, Charlie Chung-Ping Chen, Shih-Pin Hung, Tse-Yu Chiang, Jiuan-Guei Tseng An optimal algorithm for sizing sequential circuits for industrial library based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Xiaoqing Cheng Performance, Benchmarking and Sizing in Developing Highly Scalable Enterprise Software. Search on Bibsonomy SIPEW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Foad Dabiri, Ani Nahapetian, Miodrag Potkonjak, Majid Sarrafzadeh Soft Error-Aware Power Optimization Using Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Mei Wang, Yashar Ganjali The Effects of Fairness in Buffer Sizing. Search on Bibsonomy Networking The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41DiaaEldin Khalil, Yehea I. Ismail Optimum sizing of power grids for IR drop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Fujita Tomohiro, Iiduka Osamu Analog circuit sizing with dynamic search window. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Preetam Patil, Varsha Apte Sizing of IEEE 802.11 wireless LANs. Search on Bibsonomy WMASH The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulations, wireless LANs, MAC, analytical models
41Amogh Dhamdhere, Hao Jiang, Constantinos Dovrolis Buffer sizing for congested Internet links. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Postroute gate sizing for crosstalk noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Debjit Sinha, Hai Zhou 0001 Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Te-Kai Liu, Hui Shen, Santhosh Kumaran A Capacity Sizing Tool for a Business Process Integration Middleware. Search on Bibsonomy CEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Ted J. Wasserman, Patrick Martin 0001, Haider Rizvi Sizing DB2 UDB® servers for business intelligence workloads. Search on Bibsonomy CASCON The full citation details ... 2004 DBLP  BibTeX  RDF DB2
41Cristiano Santos, Gustavo Wilke, Cristiano Lazzari, Ricardo Reis 0001, José Luís Almada Güntzel A Transistor Sizing Method Applied to an Automatic Layout Generation Tool. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Ruibing Lu, Cheng-Kok Koh Performance Optimization of Latency Insensitive Systems Through Buffer Queue Sizing of Communication Channels. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41N. Ranganathan, Ashok K. Murugavel A Microeconomic Model for Simultaneous Gate Sizing and Voltage Scaling for Power Optimization. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-Route Gate Sizing for Crosstalk Noise Reduction. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained transistor sizing and power optimization for dual Vst domino logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41James T. Kao, Anantha P. Chandrakasan, Dimitri A. Antoniadis Transistor Sizing Issues and Tool For Multi-Threshold CMOS Technology. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
40Claudio Fabiano Motta Toledo, Lucas de Oliveira, Renato Resende Ribeiro de Oliveira, Marluce Rodrigues Pereira Parallel genetic algorithm approaches applied to solve a synchronized and integrated lot sizing and scheduling problem. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-population, soft drink company, scheduling, parallel genetic algorithms, lot sizing
40Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam Variation-aware multimetric optimization during gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise
40Shoshana Anily, Michal Tzur, Laurence A. Wolsey Multi-item lot-sizing with joint set-up costs. Search on Bibsonomy Math. Program. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-item lot-sizing, Joint set-up cost, Extended formulation, Convex hull, Mixed integer programming
40Yifang Liu, Jiang Hu A new algorithm for simultaneous gate sizing and threshold voltage assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage assignment, gate sizing
40Ruichun Yang, Zhen Wang, Dachuan Xu A Cost-Sharing Method for the Soft-Capacitated Economic Lot-Sizing Game. Search on Bibsonomy COCOA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Economic lot-sizing game, cost-sharing method, cross- monotonic, approximate cost recovery
40Jason Cong, John Lee 0002, Lieven Vandenberghe Robust gate sizing via mean excess delay minimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF robust gate sizing, process variation, geometric programming, conditional value-at-risk
40Eleftherios I. Amoiralis, Pavlos S. Georgilakis, Marina A. Tsili, Antonios G. Kladas Ant Colony System-Based Algorithm for Optimal Multi-stage Planning of Distribution Transformer Sizing. Search on Bibsonomy KES (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimal Transformer Sizing, Thermal Loading, Energy Loss Cost, Distribution Network Planning, Transformers, Ant Colony Optimization
40Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
40Zhanyuan Jiang, Weiping Shi Circuit-wise buffer insertion and gate sizing algorithm with scalability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, gate sizing, interconnect synthesis
40Tian-Li Yu 0001, Kumara Sastry, David E. Goldberg, Martin Pelikan Population sizing for entropy-based model building in discrete estimation of distribution algorithms. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF genetic algorithms, entropy, mutual information, estimation of distribution algorithms, population sizing, model building
Displaying result #1 - #100 of 3867 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license