The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase standard-cell (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1986 (15) 1987-1988 (23) 1989-1990 (23) 1991-1993 (25) 1994-1995 (28) 1996-1997 (30) 1998 (25) 1999 (25) 2000 (23) 2001 (27) 2002 (45) 2003 (52) 2004 (51) 2005 (59) 2006 (78) 2007 (92) 2008 (73) 2009 (37) 2010 (22) 2011 (25) 2012 (18) 2013 (29) 2014 (25) 2015 (30) 2016 (26) 2017 (21) 2018 (17) 2019 (23) 2020 (21) 2021 (30) 2022 (22) 2023 (26) 2024 (8)
Publication types (Num. hits)
article(283) incollection(1) inproceedings(788) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 624 occurrences of 414 keywords

Results
Found 1074 publication records. Showing 1074 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
58Jennifer Y.-L. Lo, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Peter Spindler, Ulf Schlichtmann, Frank M. Johannes Abacus: fast legalization of standard cell circuits with minimal movement. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minimal movement, standard cell circuits, dynamic programming, legalization
52Hailong Jiao, Lan Chen Cellwise OPC Based on Reduced Standard Cell Library. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cellwise OPC, reduced standard cell library, design for manufacturability
48Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani Optimal algorithms for planar over-the-cell routing in the presence of obstacles. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar over-the-cell routing, arbitrary shaped obstacles, two layer standard cell design methodology, ALGO-PROBES algorithm, VLSI, network routing, optimal algorithms, circuit layout CAD, circuit optimisation, integrated circuit layout
46Jin-Tai Yan An Optimal ILP Formulation for Minimixing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Jason Cong, Bryan Preas, C. L. Liu 0001 General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
43Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw STEEL: a technique for stress-enhanced standard cell library design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Jin-Tai Yan An Efficient Heuristic Approach on Minimizing the Number of Feedthrough Cells in Standard Cell Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
42Rashed Zafar Bhatti, Monty Denneau, Jeff Draper 2 Gbps SerDes design based on IBM Cu-11 (130nm) standard cell technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CDR, CML driver, LVDS, SerDes, duty cycle correction (DCC), jitter and skew compensation, standard cell based serializer and deserializer circuits for high speed signaling, PLL, DLL, phase detection
42Hans T. Heineken, Wojciech Maly Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Standard Cell Designs, Synthesis, Interconnects, Manufacturability, Yield
42John A. Chandy, Prithviraj Banerjee Parallel simulated annealing strategies for VLSI cell placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement
41Andrea Ricci, Ilaria De Munari, Paolo Ciampolini An evolutionary approach for standard-cell library reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compact library, mutation algorithm, standard-cell, digital design
41Stefan Tillich, Martin Feldhofer, Thomas Popp, Johann Großschädl Area, Delay, and Power Characteristics of Standard-Cell Implementations of the AES S-Box. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF substitution box (S-box), inversion in the finite field GF($28$), standard cell implementation, Advanced Encryption Standard (AES), power consumption, silicon area, critical path delay
39Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen Closed-loop adaptive voltage scaling controller for standard-cell ASICs. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay-line, variable-voltage, low-power, energy-efficient, design methodology, circuit design, standard-cell, DC-DC converter
38Johannes Wolkerstorfer, Elisabeth Oswald, Mario Lamberger An ASIC Implementation of the AES SBoxes. Search on Bibsonomy CT-RSA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standard-cell design, scalability, Very Large Scale Integration (VLSI), pipelining, Advanced Encryption Standard (AES), Application Specific Integrated Circuit (ASIC), inversion, finite field arithmetic
37Seungwhun Paik, Youngsoo Shin Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sleep vector, zigzag power gating, low power, leakage current, standard-cell
37Faris H. Khundakjie, Patrick H. Madden, Nael B. Abu-Ghazaleh, Mehmet Can Yildiz Parallel Standard Cell Placement on a Cluster of Workstations. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Partitioning based Placement, Parallel VLSI Placement, Message Passing Applications, Standard Cell
37Marc Renaudin, Pascal Vivet, Frédéric Robin ASPRO-216: A Standard-Cell Q.D.I. 16-Bit RISC Asynchronous Microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, quasi-delay-insensitive circuits, standard-cell asynchronous design
37Jitendra Khare, Wojciech Maly, Nathan Tiday Fault characterization of standard cell libraries using inductive contamination. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF surface contamination, fault characterization, standard cell libraries, inductive contamination analysis, contamination diagnosis, gate-level delay characterization, fault diagnosis, test generation, integrated circuit testing, cellular arrays, defect coverage
37Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
37H. J. Kappen, F. M. J. de Bont An efficient placement method for large standard-cell and sea-of-gates designs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF optimization, Quadratic Assignment Problem, recursive partitioning, Standard Cell placement
36Rajesh Garg, Mario Sánchez, Kanupriya Gulati, Nikhil Jayakumar, Anshul Gupta, Sunil P. Khatri A design flow to optimize circuit delay by using standard cells and PLAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PLA, standard cell
35Uday Doddannagari, Shiyan Hu, Weiping Shi Fast characterization of parameterized cell library. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement and a hybrid net model. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net models, analytical placement, standard cell placement
35Hart Anway, Greg Farnham, Rebecca Reid PLINT layout system for VLSI chips. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF IC placement, IC routing, macrocell layout, standard cell layout, VLSI, computer-aided design, IC layout
33Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Mehrdad Najibi, Kamran Saleh, Hossein Pedram Using standard asic back-end for qdi asynchronous circuits: dealing with isochronic fork constraint. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quasi-delay insensitive, standard-cell layout, asynchronous circuits
32Ke Cao, Sorin Dobre, Jiang Hu Standard cell characterization considering lithography induced variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF process CD, CAD, OPC, design flow, standard cell, RET
32Hiroaki Yoshida, Kaushik De, Vamsi Boppana Accurate pre-layout estimation of standard cell characteristics. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cell characterization, transistor-level optimization, standard cell
32Guoqiang Chen, Sachin S. Sapatnekar Partition-driven standard cell thermal placement. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, partition, placement, temperature, standard cell, thermal model
32Kees van Berkel 0001, Ronan Burgess, Joep L. W. Kessels, Ad M. G. Peeters, Marly Roncken, Frits D. Schalij, Rik van de Wiel A single-rail re-implementation of a DCC error detector using a generic standard-cell library. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital audio tape, DCC error detector, generic standard-cell library, single-rail re-implementation, fully asynchronous implementation, handshake signaling, single-rail data encoding, generic cell library, high-level Tangram description, intermediate architecture, high level synthesis, asynchronous circuits, error detection codes, integrated logic circuits, cellular arrays, power dissipation, handshake circuits
32Jason Cong, Bryan Preas, C. L. Liu 0001 Physical models and efficient algorithms for over-the-cell routing in standard cell design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31D. V. Poornaiah, P. V. Ananda Mohan Design of a 3-bit Booth recoded novel VLSI concurrent multiplier-accumulator architecture. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent multiplier-accumulator architecture, second order modified Booth algorithm, sign extension bits minimization algorithm, sign-bit updating algorithm, multi-bit recoded parallel multipliers, computation time reduction, CMOS standard cell technology, 35 ns, 50 pF, parallel algorithms, VLSI, VLSI, parallel architectures, digital arithmetic, multiplication, CMOS logic circuits, multiplying circuits, accumulation, 1 micron
31Hsiao-Ping Tseng, Carl Sechen A gridless multi-layer router for standard cell circuits using CTM cells. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31David S. Kung 0001, Ruchir Puri Optimal P/N width ratio selection for standard cell libraries. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Saurabh N. Adya, Igor L. Markov Consistent placement of macro-blocks using floorplanning and standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
30Anil Bahuman, Benjamin Bishop, Khaled Rasheed Automated Synthesis of Standard Cells using Genetic Algorithms. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standard cell design automation, cell synthesis, Genetic Algorithms, optimization, MAGIC, evolutionary approach
28Saumil Shah, Puneet Gupta 0001, Andrew B. Kahng Standard cell library optimization for leakage reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate-length biasing, library optimization, leakage reduction
28Hsiao-Ping Tseng, Carl Sechen A gridless multilayer router for standard cell circuits using CTMcells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Antonio Blotti, Maurizio Castellucci, Roberto Saletti Designing Carry Look-Ahead Adders with an Adiabatic Logic Standard-Cell Library. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Wern-Jieh Sun, Carl Sechen A parallel standard cell placement algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Wern-Jieh Sun, Carl Sechen A loosely coupled parallel algorithm for standard cell placement. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Andrew A. Kennings, Kristofer Vorwerk Force-Directed Methods for Generic Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ingmar Neumann, Dominik Stoffel, Hendrik Hartje, Wolfgang Kunz Cell replication and redundancy elimination during placement for cycle time optimization. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Sung-Hsien Sun, Shie-Jue Lee A JPEG Chip for Image Compression and Decompression. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF image compression/decompression, standard cell design, FPGA, VHDL, CAD tools, VLSI chip
26Tsai-Ying Lin, Tsung-Han Lin, Hui-Hsiang Tung, Rung-Bin Lin Double-via-driven standard cell library design. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Joachim Sudbrock, Jaan Raik, Raimund Ubar, Wieslaw Kuzmicz, Witold A. Pleskacz Defect-Oriented Test- and Layout-Generation for Standard-Cell ASIC Designs. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rung-Bin Lin, Isaac Shuo-Hsiu Chou, Chi-Ming Tsai Benchmark Circuits Improve the Quality of a Standard Cell Library. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ralph-Michael Kling, Prithviraj Banerjee ESP: A New Standard Cell Placement Package Using Simulated Evolution. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
26Mohankumar Guruswamy, Robert L. Maziasz, Daniel Dulitz, Srilata Raman, Venkat Chiluvuri, Andrea Fernandez, Larry G. Jones CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell Libraries. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Juin-Yeu Lu, Shiu-Kai Chin Linking HOL to a VLSI CAD System. Search on Bibsonomy HUG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
25Lun Li, Mitchell A. Thornton, David W. Matula A digit serial algorithm for the integer power operation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power operation, standard cell implementation, exponential, discrete log
25David G. Chinnery, Kurt Keutzer Closing the power gap between ASIC and custom: an ASIC perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, energy, custom, ASIC, comparison, standard cell
25Ad M. G. Peeters, Kees van Berkel 0001 Single-rail handshake circuits. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single-rail handshake circuits, four-phase handshake protocol, standard-cell library, technology migration, low-power applications, VLSI, protocols, energy-efficient, asynchronous circuits, asynchronous circuits, integrated logic circuits, cellular arrays
25Kenneth Y. Yun, David L. Dill A high-performance asynchronous SCSI controller. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF peripheral interfaces, high-performance asynchronous SCSI controller, small computer systems interface, asynchronous pipeline, extended burst-mode machines, CMOS standard cell, data transfer throughput, distributed control scheme, extended burst-mode state machines, synchronisation, distributed control, CMOS integrated circuits, FIFO
25Bret Stott, Dave Johnson 0003, Venkatesh Akella Asynchronous 2-D discrete cosine transform core processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous 2-D discrete cosine transform core processor, self-timed design, CCITT compatible asynchronous DCT/IDCT processor, two-phase transition signaling, bounded delay approach, Sutherland's micropipeline, custom techniques, 2 /spl mu/ SCMOS technology, delays, discrete cosine transforms, digital signal processing chips, CMOS digital integrated circuits, standard cell, 2 micron
25Mian Dong, Lin Zhong 0001 Logic Synthesis with Nanowire Crossbar: Reality Check and Standard Cell-based Integration. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25David M. Pawlowski, Liang Deng, Martin D. F. Wong Fast and Accurate OPC for Standard-Cell Layouts. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada OPC-Friendly De-Compaction with Timing Constraints for Standard Cell Layouts. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25John A. Chandy, Sungho Kim, Balkrishna Ramkumar, Steven Parkes, Prithviraj Banerjee An evaluation of parallel simulated annealing strategies with application to standard cell placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Jiing-Yuan Lin, Wen-Zen Shen, Jing-Yang Jou A power modeling and characterization method for the CMOS standard cell library. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power characterization, power consumption, power estimation
25Jeff S. Sargent, Prithviraj Banerjee A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
24Nicola Dragone, Michele Quarantelli, Massimo Bertoletti, Carlo Guardiani High Yield Standard Cell Libraries: Optimization and Modeling. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Saurabh N. Adya, Igor L. Markov Combinatorial techniques for mixed-size placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning
23Stéphane Badel, Erdem Guleyupoglu, Ozgur Inac, Anna Pena Martinez, Paolo Vietti, Frank K. Gürkaynak, Yusuf Leblebici A Generic Standard Cell Design Methodology for Differential Circuit Styles. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jason G. Brown, R. D. (Shawn) Blanton Automated Standard Cell Library Analysis for Improved Defect Modeling. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test generation, diagnosis, fault simulation, fault, defect
23Sadiq M. Sait, Ali Mustafa Zaidi, Mustafa I. Ali Asynchronous MMC based parallel SA schemes for multiobjective standard cell placement. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Hyung-Ock Kim, Youngsoo Shin, Hyuk Kim, Iksoo Eo Physical design methodology of power gating circuits for standard-cell-based design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, leakage current, power gating
23Guofang Nan, Minqiang Li, Dan Lin 0002, Jisong Kou Adaptive Simulated Annealing for Standard Cell Placement. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Weitong Chuang, Sachin S. Sapatnekar, Ibrahim N. Hajj Timing and area optimization for standard-cell VLSI circuit design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23C. P. Hsu, B. N. Tien, K. Chow, R. A. Perry, J. Tang ALPS2: a standard cell layout system for double-layer metal technology. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
22Chao-Yang Yeh, Malgorzata Marek-Sadowska Timing-Aware Power-Noise Reduction in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Fadi J. Kurdahi, Alice C. Parker Techniques for area estimation of VLSI layouts. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Dharin Shah, Kothamasu Siva, G. Girishankar, N. S. Nagaraj Optimizing Interconnect for Performance in Standard Cell Library. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vinícius P. Correia, André Inácio Reis Advanced technology mapping for standard-cell generators. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cell library, library-free, logic synthesis, technology mapping, complex gates
21Shin'ichi Wakabayashi, Nobuyuki Iwauchi, Hajime Kubota A hierarchical standard cell placement method based on a new cluster placement model. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Optimal partitioners and end-case placers for standard-cell layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Chingwei Yeh, Yin-Shuin Kang A simulated annealing based method supporting dual supply voltages in standard cell placement. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Paolo Ienne, Alexander Grießing Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts? Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
21Khushro Shahookar, Pinaki Mazumder GASP: a Genetic Algorithm for Standard cell Placement. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Mark Jones, Prithviraj Banerjee Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Amit Goel, Sarma B. K. Vrudhula Current source based standard cell model for accurate signal integrity and timing analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Stefan Tillich, Martin Feldhofer, Johann Großschädl Area, Delay, and Power Characteristics of Standard-Cell Implementations of the AES S-Box. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Matheus Gibiluka, Matheus Trevisan Moreira, Walter Lau Neto, Ney Laert Vilar Calazans A standard cell characterization flow for non-standard voltage supplies. Search on Bibsonomy SBCCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Chin-Chih Chang, Jason Cong Pseudopin assignment with crosstalk noise control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
20Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
20Zhen Yang 0006, Anthony Vannelli, Shawki Areibi An ILP based hierarchical global routing approach for VLSI ASIC design. Search on Bibsonomy Optim. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI physical design, Standard cell global routing, Integer Linear Programming
20Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Timing-driven row-based power gating. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
20Andrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF layout, leakage power, insertion, standard-cell, sleep transistor
20Tony F. Chan, Jason Cong, Kenton Sze Multilevel generalized force-directed method for circuit placement. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF force-directed method, multilevel, standard cell placement
20Clive Bittlestone, Anthony M. Hill, Vipul Singhal, N. V. Arvind Architecting ASIC libraries and flows in nanometer era. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nanometer design, libraries, standard cell
20Venkat Thanvantri, Sartaj Sahni Optimal folding of standard and custom cells. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF custom cell folding, standard cell folding, layout area
20James W. Watterson, Jill J. Hallenbeck Modulo 3 Residue Checker: New Results on Performance and Cost. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF modulo-3 residue code checker, pipelined serial multiplier, concurrent self-test, minimum error latency, multiplier input operands, 4- mu m NMOS, standard cell design, performance evaluation, integrated circuit testing, error detection, automatic testing, digital arithmetic, pipeline processing, multiplying circuits, built in test, field effect integrated circuits, error detection coverage
19Weiguang Sheng, Liyi Xiao, Zhigang Mao Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithm, optimization, soft error, multi-objective
19Janakiraman Viraraghavan, Bishnu Prasad Das, Bharadwaj Amrutur Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Shubhankar Basu, Priyanka Thakore, Ranga Vemuri Process Variation Tolerant Standard Cell Library Development Using Reduced Dimension Statistical Modeling and Optimization Techniques. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1074 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license