The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase standard-cells (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (17) 1992-1995 (17) 1996-1998 (17) 1999-2001 (16) 2002-2003 (28) 2004 (21) 2005-2006 (44) 2007 (16) 2008 (27) 2009-2010 (21) 2011-2013 (18) 2014-2015 (20) 2016-2017 (16) 2018-2019 (16) 2020-2022 (18) 2023 (9)
Publication types (Num. hits)
article(73) inproceedings(247) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 191 occurrences of 138 keywords

Results
Found 321 publication records. Showing 321 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
51Witold A. Pleskacz, Dominik Kasprowicz, Tomasz Oleszczak, Wieslaw Kuzmicz CMOS Standard Cells Characterization for Defect Based Testing. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF standard cells characterization, VLSI circuits, critical area, spot defects, defect based testing
48Jennifer Y.-L. Lo, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Shubhankar Basu, Ranga Vemuri Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Laurent Remy, Philippe Coll, Fabrice Picot, Philippe Mico, Jean-Michel Portal Metal filling impact on standard cells: definition of the metal fill corner concept. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF metal filling, modelization, interconnect, design of experiment, dispersion, standard cells, capacitance, corners, ring oscillators
45Ravi Arora, Sachin Shrivastava Area Recovery by Abutted Cell Placement: Can Fillers be Killers? An Eye-opening Viewpoint! Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Alfred E. Dunlop Will Cell Generation Displace Standard Cells? Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
42Peter Spindler, Ulf Schlichtmann, Frank M. Johannes Abacus: fast legalization of standard cell circuits with minimal movement. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minimal movement, standard cell circuits, dynamic programming, legalization
40Jens Vygen Algorithms for Detailed Placement of Standard Cells. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinatorial optimization, standard cells, Detailed placement
34Bingzhong Guan, Carl Sechen Large Standard Cell Libraries and Their Impact on Layout Area and Circuit Performanc. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Noha Kafafi, Kimberly A. Bozman, Steven J. E. Wilton Architectures and algorithms for synthesizable embedded programmable logic cores. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable logic cores, FPGA, standard cells, system-on-chip design
30Glenn Holt, Akhilesh Tyagi EPNR: an energy-efficient automated layout synthesis package. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF EPNR, energy-efficient automated layout synthesis package, MCNC Logic Synthesis '93 benchmarks, VPNR, VLSI energy minimization problems, VLSI, logic testing, placement, logic CAD, circuit layout CAD, global routing, logic arrays, standard cells, channel routing
30Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng Automatic synthesis of gate-level timed circuits with choice. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level timed circuits, C-elements, explicit timing information, textual specification, conditional operation, reachable state space, semi-custom components, timing, logic CAD, asynchronous circuits, asynchronous circuits, circuit CAD, cellular arrays, circuit complexity, logic arrays, graphical representation, standard-cells, CAD tool, automatic synthesis, gate-arrays, state-space methods, AND gates, OR gates
30Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Mely Chen Chi An Automatic Rectilinear Partitioning Procedure for Standard Cells. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
27Jae-sun Seo, Igor L. Markov, Dennis Sylvester, David T. Blaauw On the decreasing significance of large standard cells in technology mapping. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jonathan Rose LocusRoute: A Parallel Global Router for Standard Cells. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
26Li-Chun Tien, Jing-Jou Tang, Mi-Chang Chang An Automatic Layout Generator for I/O Cells. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rajesh Garg, Mario Sánchez, Kanupriya Gulati, Nikhil Jayakumar, Anshul Gupta, Sunil P. Khatri A design flow to optimize circuit delay by using standard cells and PLAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PLA, standard cell
25Dan Hillman Using Mobilize Power Management IP for Dynamic & Static Power Reduction in SoC at 130 nm. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Hans-Rudolf Heeb, Wolfgang Fichtner A module generator based on the PQ-tree algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Seyed-Abdollah Aftabjahani, Linda S. Milor Compact Variation-Aware Standard Cell Models for Timing Analysis - Complexity and Accuracy Analysis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Variation-Aware Timing Models, Standard Cells, Statistical Timing Analysis
24Shawn Phillips, Scott Hauck Automatic layout of domain-specific reconfigurable subsystems for system-on-a-chip. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automatic layout generation, domain-specific FPGA, system-on a-chip, standard cells
24Rob Roy, Debashis Bhattacharya, Vamsi Boppana Transistor-Level Optimization of Digital Designs with Flex Cells. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ASIC design methodology, integrated circuit design, power optimization, design and test, high-performance design
24Faizal Arya Samman, Rhiza S. Sadjad Analog MOS circuit design for reconfigurable fuzzy logic controller. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Witold A. Pleskacz, Tomasz Borejko, Wieslaw Kuzmicz CMOS Standard Cells Characterization for IDDQ Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Jonathan Rose Parallel global routing for standard cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Mohankumar Guruswamy, Robert L. Maziasz, Daniel Dulitz, Srilata Raman, Venkat Chiluvuri, Andrea Fernandez, Larry G. Jones CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell Libraries. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Saurabh N. Adya, Igor L. Markov Combinatorial techniques for mixed-size placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning
21Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Erect of regularity-enhanced layout on printability and circuit performance of standard cells. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Heinrich Theodor Vierhaus, Helmut Rossmann, Silvio Misera Timing- / Power-Optimization for Digital Logic Based on Standard Cells. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Arnaud Epinat, N. Vijayaraghavan, Matthieu Sautier, Olivier Callen, Sebastien Fabre, Ryan Ross, Paul Simon, Robin Wilson Yield Enhancement Methodology for CMOS Standard Cells. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bruce F. Cockburn, Keith Boyle Design and Characterization of a Digital Delay Locked Loop Synthesized from Black Box Standard Cells. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hidekazu Terai, Michiyoshi Hayase, Tokinori Kozawa A routing procedure for mixed array of custom macros and standard cells. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
21Yongchan Ban, Savithri Sundareswaran, David Z. Pan Total sensitivity based dfm optimization of standard library cells. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, sensitivity, DFM, lithography
20Bo Hu 0006, Malgorzata Marek-Sadowska Multilevel expansion-based VLSI placement with blockages. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Dawei Liu, Qiang Zhou 0001, Jinian Bian, Yici Cai, Xianlong Hong Cell shifting aware of wirelength and overlap. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Kouki Suzuki, Takashi Nakada, Masaki Nakanishi, Shigeru Yamashita, Yasuhiko Nakashima A Functional Unit with Small Variety of Highly Reliable Cells. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hwan Gue Cho, C. M. Kyung A heuristic standard cell placement algorithm using constrained multistage graph model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace: an analytical placer for mixed-mode designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-mode placement, floorplanning, analytical placement
17Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Saurabh N. Adya, Igor L. Markov Consistent placement of macro-blocks using floorplanning and standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Daijoon Hyun, Wonjae Lee, JinHyeong Park, Youngsoo Shin Integrated Power Distribution Network Synthesis for Mixed Macro Blocks and Standard Cells. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jitendra Bhandari, Likhitha Mankali, Mohammed Nabeel 0001, Ozgur Sinanoglu, Ramesh Karri, Johann Knechtel Beware Your Standard Cells! On Their Role in Static Power Side-Channel Attacks. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
16Victor M. van Santen, Jose M. Gata-Romero, Juan Núñez 0002, Rafael Castro-López, Elisenda Roca, Hussam Amrouch Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jooyeon Jeong, Sehyeon Chung, Kyeongrok Jo, Taewhan Kim Synthesis and Utilization of Standard Cells Amenable to Gear Ratio of Gate-Metal Pitches for Improving Pin Accessibility. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kihwang Son, Seulki Park, Kyunghoon Jung, Jun-Gyu Kim, Younggun Ko, Keonyong Cheon, Changkeun Yoon, Jiho Kim, Jaehun Jeong, Taehun Myung, Changmin Hong, Weonwi Jang, Min-Chul Sun, Sungil Jo, Ju-Youn Kim, Byungmoo Song, Yuri Yasuda-Masuoka, Ja-Hum Ku, Gitae Jeong Highly Reliable/Manufacturable 4nm FinFET Platform Technology (SF4X) for HPC Application with Dual-CPP/HP-HD Standard Cells. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Pei-Sheng Lu, Rung-Bin Lin Improving Pin Accessibility of Standard Cells under Power/Ground Stripes. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Christian Lanius, Jie Lou, Johnson Loh, Tobias Gemmeke Automatic Generation of Structured Macros Using Standard Cells ‒ Application to CIM. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shubham Yadav, André B. J. Kokkeler, Mark S. Oude Alink Improved Toolchain-Compatible Standard Cells with 5% - 36% Lower EDP for Super Threshold Operation in 65nm Low-Power CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hwapyong Kim, Taewhan Kim Placement Legalization Amenable to Mixed-cell-height Standard Cells Integrating into State-of-the-art Commercial EDA Tool. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ankit Wagle, Gian Singh, Sunil P. Khatri, Sarma B. K. Vrudhula A Novel ASIC Design Flow Using Weight-Tunable Binary Neurons as Standard Cells. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ankit Wagle, Gian Singh, Sunil P. Khatri, Sarma B. K. Vrudhula A Novel ASIC Design Flow using Weight-Tunable Binary Neurons as Standard Cells. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Suman Bhowmik Power reduction of standard cells by controlling leakage current. Search on Bibsonomy Int. J. Comput. Aided Eng. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jaehoon Jeong, JongHyun Ko, Taigon Song A Study on Optimizing Pin Accessibility of Standard Cells in the Post-3 nm Node. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Doyeon Won, Taewhan Kim Improving Pin Accessibility of Standard Cells Through Fin Depopulation. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kyungjoon Chang, Taewhan Kim Analysis of Impacting Multi-stack Standard Cells on Chip Implementation. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Furkan Eris Leveraging machine learning for managing prefetchers and designing secure standard cells Search on Bibsonomy 2022   RDF
16Zhixuan Wang, Le Ye, Qianqian Huang, Kaixuan Du, Zhichao Tan, Yangyuan Wang, Ru Huang Ultra-Low-Power and Performance-Improved Logic Circuit Using Hybrid TFET-MOSFET Standard Cells Topologies and Optimized Digital Front-End Process. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Linan Cao, Simon J. Bale, Martin A. Trefzer Multi-Objective Digital Design Optimization via Improved Drive Granularity Standard Cells. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Linan Cao, Simon J. Bale, Martin A. Trefzer Multi-objective Digital Design Optimisation via Improved Drive Granularity Standard Cells. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Ankit Wagle, Sunil P. Khatri, Sarma B. K. Vrudhula A Configurable BNN ASIC using a Network of Programmable Threshold Logic Standard Cells. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Aaron C.-W. Liang, Hsuan-Ming Huang, Charles H.-P. Wen Generating Layouts of Standard Cells by Implicit Learning on Design Rules for Advanced Processes. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Prasenjit Saha, Salman Ahmed, Hema Sai Kalluru, Zia Abbas Low Power PVT-Aware Transistor Sizing and Approximate Design Generation for Standard Cells Using Swarm Intelligence. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Qingyun Zou, Xiaoxin Cui, Yi Zhong, Zhenhui Dai, Yisong Kuang A fully asynchronous QDI mesh router based on 28nm standard cells. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Jinliang Han, Yongzhong Wen, Yuejun Zhang, Pengjun Wang, Huihong Zhang A 65nm Reliable Near-Subthreshold Standard Cells Design Using Schmitt Trigger. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Jay Pathak, Anand D. Darji Analysis of Standard Cells performance for In0.53Ga0.47As FinFET with underlap fin length for High Speed Applications. Search on Bibsonomy VDAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Shubham Rai, Michael Raitza, Siva Satyendra Sahoo, Akash Kumar 0001 DiSCERN: Distilling Standard-Cells for Emerging Reconfigurable Nanotechnologies. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ankit Wagle, Sunil P. Khatri, Sarma B. K. Vrudhula A Configurable BNN ASIC using a Network of Programmable Threshold Logic Standard Cells. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Area-efficient fully digital memory using minimum height standard cells for near-threshold voltage computing. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16M. Suresh, A. K. Panda, J. Sudhakar Low power aware standard cells using dual rail multi threshold null convention logic methodology. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Nikolay Ryzhenko, Steven M. Burns, Anton Sorokin, Mikhail Talalay Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Anton Sorokin, Nikolay Ryzhenko SAT-Based Placement Adjustment of FinFETs inside Unroutable Standard Cells Targeting Feasible DRC-Clean Routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Rung-Bin Lin, Yu-Xiang Chiang Impact of Double-Row Height Standard Cells on Placement and Routing. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jun Liu 0038, Beomsoo Park, Marino De Jesus Guzman, Ahmed Fahmy, Taewook Kim, Nima Maghari A Fully Synthesized 77-dB SFDR Reprogrammable SRMC Filter Using Digital Standard Cells. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Tian Wang, Xiaoxin Cui, Kai Liao, Nan Liao, Dunshan Yu, Xiaole Cui Design of Low-Power High-Performance FinFET Standard Cells. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16I-Lun Tseng, Yongfu Li 0003, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, Yoong Seang Jonathan Ong An Automated System for Checking Lithography Friendliness of Standard Cells. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Yongfu Li 0003, Chin Hui Lee, Wan Chia Ang, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Yongfu Li 0003, I-Lun Tseng, Zhao Chuan Lee, Valerio Perez, Vikas Tripathi, Yoong Seang Jonathan Ong Identifying Lithography Weak-Points of Standard Cells with Partial Pattern Matching. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yu-Xiang Chiang, Cheng-Wei Tai, Shang-Rong Fang, Kai-Chun Peng, Yuan-Dar Chung, Jin-Kai Yang, Rung-Bin Lin Designing and Benchmarking of Double-Row Height Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16A. V. Korshunov, S. A. Ilin The Technique of Fast Power Analysis for FinFET Standard Cells. Search on Bibsonomy EWDTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mitsuhiko Igarashi, Yuuki Uchida, Yoshio Takazawa, Yasumasa Tsukamoto, Koji Shibutani, Koji Nii Study of impact of BTI's local layout effect including recovery effect on various standard-cells in 10nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Fabian Speicher, Jonas Meier, Soheil Aghaie, Ralf Wunderlich, Stefan Heinen AMS verification methodology regarding supply modulation in RF SoCs induced by digital standard cells. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Longyang Lin, Saurabh Jain, Massimo Alioto A 595pW 14pJ/Cycle microcontroller with dual-mode standard cells and self-startup for battery-indifferent distributed sensing. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16I-Lun Tseng, Yongfu Li 0003, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, Yoong Seang Jonathan Ong An Automated System for Checking Lithography Friendliness of Standard Cells. Search on Bibsonomy APCCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Melanie Brocard, Benoît Mathieu, Jean-Philippe Colonna, Cristiano Santos, Claire Fenouillet-Béranger, Cao-Minh Vincent Lu, Gerald Cibrario, Laurent Brunet, Perrine Batude, François Andrieu, Sébastien Thuries, Olivier Billoint Transistor Temperature Deviation Analysis in Monolithic 3D Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shang-Rong Fang, Cheng-Wei Tai, Rung-Bin Lin On Benchmarking Pin Access for Nanotechnology Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Chao-Hung Wang, Yen-Yi Wu, Jianli Chen, Yao-Wen Chang, Sy-Yen Kuo, Wenxing Zhu, Genghua Fan An effective legalization algorithm for mixed-cell-height standard cells. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Daohang Shi, Azadeh Davoodi Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Ahmed Fahmy, Jun Liu 0038, Pavan Terdal, Ryan Madler, Rizwan Bashirullah, Nima Maghari A synthesizable time-based LDO using digital standard cells and analog pass transistor. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Tian Wang, Xiaoxin Cui, Kai Liao, Nan Liao, Xiaole Cui, Dunshan Yu Low Power High Performance FinFET Standard Cells Based on Mixed Back Biasing Technology. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Gang Wu 0002, Chris Chu Detailed Placement Algorithm for VLSI Design With Double-Row Height Standard Cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Moon Seok Kim, William Cane-Wissing, Xueqing Li, Jack Sampson, Suman Datta, Sumeet Kumar Gupta, Vijaykrishnan Narayanan Comparative Area and Parasitics Analysis in FinFET and Heterojunction Vertical TFET Standard Cells. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Meghna G. Mankalale, Sachin S. Sapatnekar Optimized Standard Cells for All-Spin Logic. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Shushanik Karapetyan, Veit Kleeberger, Ulf Schlichtmann FinFET-based product performance: Modeling and evaluation of standard cells in FinFET technologies. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Fully digital on-chip memory using minimum height standard cells for near-threshold voltage computing. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Sergey Bykov, Nikolai Ryzhenko, Anton Sorokin Automated solution for preventing design rules violations at abutment stage for standard cells synthesis flow. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Hsueh-Ju Lu, En-Jang Jang, Ang Lu, Yu Ting Zhang, Yu-He Chang, Chi-Hung Lin, Rung-Bin Lin Practical ILP-based routing of standard cells. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
Displaying result #1 - #100 of 321 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license