The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase wire-sizing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1996 (16) 1997-1998 (16) 1999-2000 (16) 2001-2002 (21) 2003-2004 (16) 2005-2006 (22) 2007-2008 (21) 2009-2023 (10)
Publication types (Num. hits)
article(47) incollection(3) inproceedings(88)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 63 keywords

Results
Found 138 publication records. Showing 138 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
169Chung-Ping Chen, D. F. Wong 0001 Optimal Wire-Sizing Function with Fringing Capacitance Consideration. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
148Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Global interconnect sizing and spacing with consideration of coupling capacitance. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF GISS solutions, asymmetric wire sizing, delay reduction, fringing capacitances, global interconnect sizing and spacing, global wire sizing, interconnect delay minimization, multiple nets, optimal wire sizing, spacing solution, symmetric effective fringing properties, VLSI, coupling capacitance
126Chung-Ping Chen, Hai Zhou 0001, D. F. Wong 0001 Optimal non-uniform wire-sizing under the Elmore delay model. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Elmore delay model, IBM RS/6000 workstation, NWSA-db, NWSA-md, NWSA-wd algorithm, general routing trees, maximum sink delay, minimization objectives, optimal nonuniform wire sizing, routing-tree problem, sink-delay bounds, total area, total weighted sink-delays, wire-sizing formula, circuit analysis computing, Lagrangian relaxation
125Narender Hanchate, Nagarajan Ranganathan A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, transmission lines, crosstalk noise, interconnect models, wire sizing, interconnect delay
122Chris C. N. Chu, Martin D. F. Wong A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
119Charles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay Interconnect synthesis without wire tapering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
116Chris C. N. Chu, D. F. Wong 0001 Greedy wire-sizing is linear time. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
106Chris C. N. Chu, D. F. Wong 0001 A new approach to simultaneous buffer insertion and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect delay minimization, interconnect area minimization, convex quadratic programming, buffer insertion, wire sizing
105Takumi Okamoto, Jason Cong Buffered Steiner tree construction with wire sizing for interconnect layout optimization. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Steiner Tree, Buffer Insertion, Interconnect Optimization, Wire Sizing
103Zhuo Li 0001, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia Fast interconnect synthesis with layer assignment. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, wire sizing, layer assignment, interconnect synthesis
103Chris C. N. Chu, D. F. Wong 0001 Closed form solutions to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF buffer insertion, buffer sizing, closed form solution, interconnect optimization, wire sizing
102Narender Hanchate, Nagarajan Ranganathan A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
99Chris C. N. Chu, D. F. Wong 0001 A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Simultaneous buffer and wire sizing, buffer sizing, interconnect optimization, wire sizing
96Zhuo Li 0001, Nancy Ying Zhou, Weiping Shi Wire Sizing for Non-Tree Topology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
89Jason Cong, David Zhigang Pan Wire width planning for interconnect performance optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
83Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
82Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and uniform wire sizing for single long wires. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
80Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Is wire tapering worthwhile? Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
79Jin-Tai Yan, Bo-Yi Chiang, Shi-Qin Huang Width and Timing-Constrained Wire Sizing for Critical Area Minimization. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
78Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
78Jason Cong, Cheng-Kok Koh Simultaneous driver and wire sizing for performance and power optimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
78Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP)
78Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
76Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and wire sizing for two-pin nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
73Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee Timing-constrained yield-driven wire sizing for critical area minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
69Youxin Gao, Martin D. F. Wong Wire-sizing optimization with inductance consideration using transmission-line model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
67Min Ni, Seda Ogrenci Memik Self-heating-aware optimal wire sizing under Elmore delay model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
67Song-Ra Pan, Yao-Wen Chang Crosstalk-Constrained Performance Optimization by Using Wire Sizing and Perturbation. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
66Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
64Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
63Jason Cong, Lei He 0001 An efficient approach to simultaneous transistor and interconnect sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CH-posynomial programs, STIS, driver/buffer, transistor and interconnect sizing, wire sizing problem, circuit CAD, transistor sizing
61Ke Cao, Jiang Hu, Mosong Cheng Wire Sizing and Spacing for Lithographic Printability and Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Chris C. N. Chu, Martin D. F. Wong Greedy wire-sizing is linear time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
60Nicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnect-Driven Floorplanning, Performance Optimization
60Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
59Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan Track Routing and Optimization for Yield. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Chris C. N. Chu, Martin D. F. Wong An efficient and optimal algorithm for simultaneous buffer and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Chung-Ping Chen, Chris C. N. Chu, Martin D. F. Wong Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
54Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Christoph Albrecht, Andrew B. Kahng, Ion I. Mandoiu, Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
53Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Rony Kay, Lawrence T. Pileggi EWA: efficient wiring-sizing algorithm for signal nets and clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
51Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
49Rupak Samanta, Jiang Hu, Peng Li 0001 Discrete buffer and wire sizing for link-based non-tree clock networks. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree, buffer, clock, wire, svm
49Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock buffer and wire sizing using sequential programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF skew, robust design, clock tree synthesis
49Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
49Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RC interconnect, optimization, sequential quadratic programming
48Jason Cong, David Zhigang Pan Interconnect performance estimation models for design planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
48Jason Cong, David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
48Fu-Wei Chen, Yi-Yu Liu Wire Sizing Alternative - An Uniform Dual-rail Routing Architecture. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Youxin Gao, D. F. Wong 0001 Wire-Sizing for Delay Minimization and Ringing Control Using Transmission Line Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan TROY: Track Router with Yield-driven Wire Planning. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Sachin S. Sapatnekar Wire sizing as a convex optimization problem: exploring the area-delay tradeoff. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
42Dian Zhou, Ruiming Li Design and Verification of High-Speed VLSI Physical Design. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning and placement, order reduction, parameter extraction, VLSI, delay, interconnect, power, physical design, buffer insertion, power grid, clock distribution, wire sizing
42Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
42Xiaopeng Ji, Long Ge, Xiaodong Han, Zhiquan Wang Wire-Sizing for Interconnect Performance Optimization Considering High Inductance Effects. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Sampath Dechu, Zion Cien Shen, Chris C. N. Chu An efficient routing tree construction algorithm with buffer insertion, wire sizing, and obstacle considerations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Sampath Dechu, Zion Cien Shen, Chris C. N. Chu An efficient routing tree construction algorithm with buffer insertion, wire sizing and obstacle considerations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Haihua Su, Jiang Hu, Sachin S. Sapatnekar, Sani R. Nassif A methodology for the simultaneous design of supply and signal networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Sean X. Shi, David Z. Pan Wire sizing with scattering effect for nanoscale interconnection. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin Optimal wire sizing and buffer insertion for low power and a generalized delay model. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Timing Optization, Dynamic Power Dissipation, Signal Slew, Dynamic Programming, Elmore Delay
35Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Interconnect sizing and spacing with consideration of couplingcapacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Murat R. Becer, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Chung-Wei Lin, Lei Rao, Paolo Giusto, Joseph D'Ambrosio, Alberto L. Sangiovanni-Vincentelli Efficient Wire Routing and Wire Sizing for Weight Minimization of Automotive Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
33Chung-Wei Lin, Lei Rao, Paolo Giusto, Joseph D'Ambrosio, Alberto L. Sangiovanni-Vincentelli An Efficient Wire Routing and Wire Sizing Algorithm for Weight Minimization of Automotive Systems. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Jiang-An He, Hideaki Kobayashi Simultaneous Wire Sizing and Wire Spacing in Post-Layout Performance Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
33Yu-Yen Mo, Chris C. N. Chu Hybrid dynamic/quadratic programming algorithm for interconnecttree optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Yu-Min Lee, Charlie Chung-Ping Chen, Yao-Wen Chang, Martin D. F. Wong Simultaneous Buffer-sizing and Wire-sizing for Clock Trees Based on Lagrangian Relaxation. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Chris C. N. Chu, D. F. Wong 0001 Closed form solution to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Haihua Su, Jiang Hu, Sachin S. Sapatnekar, Sani R. Nassif Congestion-driven codesign of power and signal networks. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal routing, wire congestion, codesign, power grid noise
29I-Jye Lin, Tsui-Yee Ling, Yao-Wen Chang Statistical circuit optimization considering device andinterconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate and wire sizing, statistical optimization
29Mandeep Bamal, Youssef Travaly, Wenqi Zhang, Michele Stucchi, Karen Maex Impact of interconnect resistance increase on system performance of low power and high performance designs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect sizing, interconnect technology evaluation, power-delay trade-off, wire sizing
29Mandeep Bamal, Evelyn Grossar, Michele Stucchi, Karen Maex Interconnect width selection for deep submicron designs using the table lookup method. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect sizing, power-delay trade-off, wire sizing
29Chris C. N. Chu, D. F. Wong 0001 VLSI Circuit Performance Optimization by Geometric Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF unary geometric programming, circuit performance optimization, VLSI design, Lagrangian relaxation, gate sizing, transistor sizing, wire sizing
27Vani Prasad, Madhav P. Desai Interconnect Delay Minimization Using a Novel Pre-Mid-Post Buffer Strategy. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Chia-Chun Tsai, Chung-Chieh Kuo, Jan-Ou Wu, Trong-Yen Lee, Rong-Shue Hsiao X-clock routing based on pattern matching. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Kai Wang 0011, Malgorzata Marek-Sadowska Clock network sizing via sequential linear programming with time-domain analysis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew, time-domain analysis
22Yaguang Li, Yishuang Lin, Meghna Madhusudan, Arvind K. Sharma, Sachin S. Sapatnekar, Ramesh Harjani, Jiang Hu Performance-driven Wire Sizing for Analog Integrated Circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Yoonsang Song, Gangmin Cho, Wonjae Lee, Youngsoo Shin Simultaneous Clock Wire Sizing and Shield Insertion for Minimizing Routing Blockage. Search on Bibsonomy MLCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Meng Liu, Zhiwei Zhang, Wenqin Sun, Donglin Wang Optimization of clock mesh based on wire sizing variation. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Chris Chu Wire Sizing. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Shikha Singh 0004, V. Sulochana Verma Reduction of Crosstalk Noise and Delay in VLSI Interconnects Using Schmitt Trigger as a Buffer and Wire Sizing. Search on Bibsonomy ACITY (3) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Rupak Samanta, Jiang Hu, Peng Li 0001 Discrete Buffer and Wire Sizing for Link-Based Non-Tree Clock Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Zhi-Wei Chen, Jin-Tai Yan Width-constrained wire sizing for non-tree interconnections. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Sanghamitra Roy, Charlie Chung-Ping Chen Wire Sizing. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chris Chu Wire Sizing. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vinita V. Deodhar, Jeffrey A. Davis Optimal Voltage Scaling, Repeater Insertion, and Wire Sizing for Wave-Pipelined Global Interconnects. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jin-Tai Yan, Shi-Qin Huang, Zhi-Wei Chen Timing-Driven Steiner Tree Construction with Wire Sizing, Buffer Insertion and Obstacle Avoidance. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters . Search on Bibsonomy Integr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Shlomo Greenberg, Ido Bloch, Moti Horwitz, Avishay Maman Optimization of chip level clock tree performance by using simultaneous drivers and wire sizing. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ting-Yuan Wang, Charlie Chung-Ping Chen Optimization of the Power/Ground Network Wire-Sizing and Spacing Based on Sequential Network Simplex Algorithm. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 138 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license