The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "3DIC"( http://dblp.L3S.de/Venues/3DIC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/3dic

Publication years (Num. hits)
2009 (82) 2010 (67) 2011 (108) 2012-2013 (91) 2014 (47) 2015 (81) 2016 (49) 2019 (69) 2021 (18) 2023 (13)
Publication types (Num. hits)
inproceedings(615) proceedings(10)
Venues (Conferences, Journals, ...)
3DIC(625)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jiayi Shen, Chang Liu, Tadaaki Hoshi, Atsushi Sinoda, Hisashi Kino, Tetsu Tanaka, Mariappan Murugesan, Mitsumasa Koyanagi, Takafumi Fukushima Impact of Super-long-throw PVD on TSV Metallization and Die-to-Wafer 3D Integration Based on Via-last. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nermeen Hossam, John Ferguson Fast, Accurate Assembly-Level Physical Verification of 3DIC Packages. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Slimane Boutobza, Cheng-Wen Wu, Georges G. E. Gielen, Erik Jan Marinissen Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Koutaro Hachiya Measurement Point Selection Algorithms for Testing Power TSVs. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joshua A. Stevens, Tse-Han Pan, Prasanth Prabu Ravichandiran, Paul D. Franzon Chiplet Set For Artificial Intelligence. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, M. Sawa, E. Sone, Makoto Motoyoshi, Mitsumasa Koyanagi, Takafumi Fukushima Copper Electrode Surface Features and Cu-SiO2Hybrid Bonding. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohamed Naeim, Hanqi Yang, Pinhong Chen, Rong Bao, Antoine Dekeyser, Giuliano Sisto, Moritz Brunion, Rongmei Chen, Geert Van der Plas, Eric Beyne, Dragomir Milojevic Design Enablement of 3-Dies Stacked 3D-ICs Using Fine-Pitch Hybrid-Bonding and TSVs. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Somnath Pal, Liang Ye, James O'Callaghan, Fatih Bilge Atar, Cian O'Mathuna, Brian Corbett, Ranajit Sai, Sambuddha Khan A Study on a Tether-Less Approach Towards Micro-Transfer-Printing of Large-Footprint Power Micro-Inductor Chiplets. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 IEEE International 3D Systems Integration Conference, 3DIC 2023, Cork, Ireland, May 10-12, 2023 Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Emre Can Durmaz, Carl Heine, Zhibo Cao, Jens Lehmann 0002, Dietmar Kissinger, Matthias Wietstruck SiGe BiCMOS Technology with Embedded Microchannels based on Cu Pillar PCB Integration Enabling sub-THz Microfluidic Sensor Applications. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Erik W. Masselink, Andrew Stark, Benjamin B. Yang, T. Robert Harris Review of Hybrid Integration Techniques for Integrating III-V Onta Silicon. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pouria Zaghari, Sourish S. Sinha, Jong Eun Ryu, Paul D. Franzon, Douglas C. Hopkins Thermal Cycling and Fatigue Life Analysis of a Laterally Conducting GaN-based Power Package. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Priyank Kashyap, Prasanth Prabu Ravichandiran, Lee Wang, Dror Baron, Chau-Wai Wong, Tianfu Wu 0001, Paul D. Franzon Thermal Estimation for 3D-ICs Through Generative Networks. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christophe Dubarry, Lucile Arnaud, Maria-Luisa Calvo-Muñoz, Gaëlle Mauguen, Stéphane Moreau, R. Crochemore, Nicolas Bresson, Bernard Aventurier 3D interconnection using copper direct hybrid bonding for GaN on silicon wafer. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ziyue Zhang, Yingtao Ding, Baoyan Yang, Anrun Ren, Zhiming Chen 0001 A Low-Cost and Low-Temperature Method to Realize Carbon Nanotube Conductor in Through-Silicon-Via. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Koji Kiyoyama, Yoshihiko Horio, Takafumi Fukushima, Hiroyuki Hashimoto, Takemori Orima, Mitsumasa Koyanagi Design for 3-D Stacked Neural Network Circuit with Cyclic Analog Computing. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yoshihiko Horio, Takemori Orima, Koji Kiyoyama, Mitsumasa Koyanagi Implementation of a Chaotic Neural Network Reservoir on a TSV/$\mu\text{Bump}$ Stacked 3D Cyclic Neural Network Integrated Circuit. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prasanth Prabu Ravichandiran, Paul D. Franzon A Review of 3D-Dynamic Random-Access Memory based Near-Memory Computation. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ankit Kaul, Yandong Luo, Xiaochen Peng, Shimeng Yu, Muhannad S. Bakir Thermal Reliability Considerations of Resistive Synaptic Devices for 3D CIM System Performance. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Liangxing Hu, Simon Chun Kiat Goh, Yu Dian Lim, Peng Zhao, Michael Joo Zhong Lim, Chuan Seng Tan Multi-Die to Wafer Bonding Through Plasma-Activated Cu-Cu Direct Bonding in Ambient Conditions. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qianli Zhao, W. Rhett Davis A Virtual Platform for Object Detection Systems. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Patrick Krüger, Thomas Voß, Matthias Wietstruck Bond Strength Optimization of Plasma Activated Low Temperature Oxide-Oxide Fusion Bonding Through Thermocycling. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Takafumi Fukushima, Shinichi Sakuyama, Masatomo Takahashi, Hiroyuki Hashimoto, Jichoel Bea, Theodorus Marcello, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi, Mariappan Murugesan Integration of Damage-less Probe Cards Using Nano-TSV Technology for Microbumped Wafer Testing. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ayano Furue, Satoshi Matsumoto Numerical investigations for 3D power supply on chip by coupling of thermal-fluid, circuit, and electromagnetic field simulations. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 IEEE International 3D Systems Integration Conference, 3DIC 2021, Raleigh, NC, USA, October 26-29, 2021 Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, E. Sone, A. Simomura, Makoto Motoyoshi, M. Sawa, K. Fukuda, Mitsumasa Koyanagi, Takafumi Fukushima Cu-Cu Direct Bonding Through Highly Oriented Cu Grains for 3D-LSI Applications. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shinei Miyasaka, Satoshi Matsumoto Numerical predictions of 3D power-supply on chip taking into considerations of proximity effect. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lee Baker, Robert Patti, Paul D. Franzon Multi-ANN embedded system based on a custom 3D-DRAM. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sreejith Kochupurackal Rajan, Ankit Kaul, Gary S. May, Muhannad S. Bakir Electrical and Performance Benefits of Advanced Monolithic Cooling for 2.5D Heterogeneous ICs. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anthony Mastroianni, Benjamin Kerr, Jawad Nasrullah, Kevin Cameron, Hockshan James Wong, David Ratchkov, Joseph A. Reynick Proposed Standardization of Heterogenous Integrated Chiplet Models. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuske Ogushi, Satoshi Matsumoto Fully integrated transformer less floating gate driver for 3D power supply on chip. Search on Bibsonomy 3DIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Investigation of the Underfill with Negative-Thermal-Expansion Material to Suppress Mechanical Stress in 3D Integration System. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Valeriy Sukharev, Armen Kteyan, Jun-Ho Choy An Accurate Assessment of Chip-Package Interaction is a Key Factor for Designing Resilient 3D IC Systems. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chia-Hsuan Lee 0003, Hsin-Chi Chang, Jui-Han Liu, Hiroyuki Ito, Young-Suk Kim, Kuan-Neng Chen, Takayuki Ohba Temperature Cycling Reliability of WOW Bumpless Through Silicon Vias. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jing Tao, Hongyu Li, Peng Zhao, Yu Dian Lim, Anak Agung Alit Apriyana, Chuan Seng Tan Design Considerations and Fabrication Challenges of Surface Electrode Ion Trap with TSV Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Demin Liu, Po-Chih Chen, Yi-Chieh Tsai, Kuan-Neng Chen Low Temperature Cu to Cu Direct Bonding below 150 °C with Au Passivation Layer. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kei Sumita, Jun Takeyasu, Kimihiko Kato, Kasidit Toprasertpong, Mitsuru Takenaka, Shinichi Takagi Fabrication of High Quality InAs-on-Lnsulator Structures by Smart Cut Process with Reuse of InAs Wafers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuuki Araga, Kikuchi Katsuya, Masahiro Aoyagi Electrostatic Shield TSVs to Suppress Coupling Among Stacked ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Taro Matsudaira, Shunsuke Shindo, Tomohiro Shimizu, Takeshi Ito, Shoso Shinguhara, Satoru Shimizu Cu Diffusion Barrier Properties of Various CoWB Electroless Plated Films on SiO2/Si Substrate for Via-last TSV Application. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Han Kyeol Seo, Hae-Sung Park, Sarah Eunkyung Kim Effects of Argon and Nitrogen ion Bombardments on Sputtered and Electroplated Cu Surfaces for Cu Bonding Application. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sethavut Duangchan, Keisuke Yamamoto, Dong Wang, Hiroshi Nakashima, Akiyoshi Baba SiN used as a Stressor in Germanium-On-Insulator Substrate. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sungho Lee, Yuki Susumago, Zhengyang Qian, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima Development of 3D-IC Embedded Flexible Hybrid System. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hanna Soneda, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical Field Test Method of Resistive Open Defects between Dies by Quiescent Currents through Embedded Diodes. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019 Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  BibTeX  RDF
1Cheong-Ha Jung, Won Seo, Gu-sung Kim Thermal Stress Tracking in Multi-Die 3D Stacking Structure by Finite Element Analysis. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akira Matsuzawa A Universal ADC for Sensor Applications. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei Feng, Naoya Watanabe, Haruo Shimamoto, Masahiro Aoyagi, Katsuya Kikuchi Thermal Stress Comparison of Annular-Trench-Isolated (ATI) TSV with Cu and Solder Core. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Y. Kagawa, H. Iwamoto 3D Integration Technologies for the Stacked CMOS Image Sensors. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Makoto Motoyoshi, Kohki Yanagimura, Taikoh Fushimi, Shunta Endo Stacked Pixel Sensor/Detector Technology using Au Micro-Bump Junction. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Imed Jani, Didier Lattard, Pascal Vivet, Lucile Arnaud, Edith Beigné Misalignment Analysis and Electrical Performance of High Density 3D-IC interconnects. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Giuliano Sisto, Peter Debacker, Rongmei Chen, Geert Van der Plas, Richard Chou, Eric Beyne, Dragomir Milojevic Design Enablement of Fine Pitch Face-to-Face 3D System Integration using Die-by-Die Place & Route. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Minami Nakayama, Seiya Abe, Satoshi Matsumoto Transformer-Less Floating Gate Driver for 3D Power SoC. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuki Miwa, Sungho Lee, Rui Liang, Kousei Kumahara, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Characterization of Low-Height Solder Microbump Bonding for Fine-Pitch Inter-Chip Connection in 3DICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Robert Fischbach, Tilman Horst, Jens Lienig A Graph-Based Model of Micro-Transfer Printing for Cost-Optimized Heterogeneous 2.5D Systems. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Toshiaki Satoh, Hiroyuki Yotsuyanagi, Masaki Hashizume On Delay Elements in Boundary Scan Cells for Delay Testing of 3D IC Interconnection. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masahide Goto, Joeri De Vos, Toshihisa Watabe, Kei Hagiwara, Masakazu Nanba, Yoshinori Iguchi, Eiji Higurashi, Yuki Honda, Takuya Saraya, Masaharu Kobayashi, Hiroshi Toshiyoshi, Toshiro Hiramoto Triple-Layering Technology for Pixel-Parallel CMOS Image Sensors Developed by Hybrid Bonding of SOI Wafers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fumihiro Inoue, Julien Bertheau, Samuel Suhard, Alain Phommahaxay, Takuya Ohashi, Tetsuro Kinoshita, Yohei Kinoshita, Eric Beyne Protective Layer for Collective Die to Wafer Hybrid Bonding. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Srinivasan Gopal, Deukhyoun Heo, Tanay Karnik Hierarchical Design Methodology and Optimization for Proximity Communication based Contactless 3D ThruChip Interface. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hae-Sung Park, Han Kyeol Seo, Sarah Eunkyung Kim Characterization of Nitride Passivated Cu Surface for Low-Temperature Cu-Cu Bonding. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kwang-Seong Choi, Yong-Sung Eom, Seok Hwan Moon, Jiho Joo, Kwangjoo Lee, Jung Hak Kim, Ju Hyeon Kim Development of Laser-Assisted Bonding with Compression (LABC) Process for 3D IC Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Quy Dinh, Kazuo Kondo, Tetsuji Hirato Reduction of TSV Pumping. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei-Hsuan Yang, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun, Shih-Hsu Huang A Built-in Self-Test Scheme for TSVs of Logic-DRAM Stacked 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Mitsumasa Koyanagi, Takafumi Fukushima Growth Optimization of Multi-Layer Graphene for Thermal-TSV Application in 3D-LSI. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tsukasa Miura, Masaki Sakakibara, Hirotsugu Takahashi, Tadayuki Taura, Keiji Tatani, Yusuke Oike, Takayuki Ezaki A 6.9 μm Pixel-Pitch 3D Stacked Global Shutter CMOS Image Sensor with 3M Cu-Cu connections. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi-Chieh Tsai, Chia-Hsuan Lee 0003, Kuan-Neng Chen Investigation of Low Temperature Cu Pillar Eutectic Bonding for 3D Chip Stacking Technology. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peter Ramm, Armin Klumpp, Christof Landesberger, Josef Weber, Andy Heinig, Peter Schneider, Günter Elst, Manfred Engelhardt Fraunhofer's Initial and Ongoing Contributions in 3D IC Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1T. Robert Harris, W. Rhett Davis, Steven Lipa, W. Shepherd Pitts, Paul D. Franzon Vertical Stack Thermal Characterization of Heterogeneous Integration and Packages. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Miho Yamada, Shun Ono, Yasuo Arai, Ikuo Kurachi, Toru Tsuboyama, Masayuki Ikebe, Makoto Motoyoshi 3D Integrated Pixel Sensor with Silicon-on-Insulator Technology for the International Linear Collider Experiment. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ken Suzuki, Ryota Mizuno, Yutaro Nakoshi, Hideo Miura Crystallinity Dependence of Long-Term Reliability of Electroplated Gold Thin-Film Interconnections. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fan-Hsuan Tang, Hsu-Yu Kao, Shih-Hsu Huang, Jin-Fu Li 0001 3D Test Wrapper Chain Optimization with I/O Cells Binding Considered. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jubee Tada, Kazuto Takahashi, Ryusuke Egawa A Design Scheme for 3-D Stacked CNN Accelerators. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Koji Sakui, Takayuki Ohba High Bandwidth Memory (HBM) and High Bandwidth NAND (HBN) with the Bumpless TSV Technology. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Koji Kiyoyama, Qian Zhengy, Hiroyuki Hashimoto, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Development of a CDS Circuit for 3-D Stacked Neural Network Chip using CMOS Analog Signal Processing. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Koichi Takemura, Akio Ukita, Yasuhiro Ibusuki, Mitsuru Kurihara, Akihiro Noriki, Takeru Amano, Daisuke Okamoto, Yasuyuki Suzuki, Kazuhiko Kurata Vertical Optical and Electrical Interconnection for Chip-Scale-Packaged Si Photonic Transceivers. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Toru Aoki, Katsuyuki Takagi, Toshiyuki Takagi, Hiroki Kase, Akifumi Koike X-ray Photon-Counting Imager with CdTe/Si-LSI Stacking. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hiroto Tanaka, Yoshiyuki Arai, Toshiyuki Jinda, Noboru Asahi, Katsumi Terada Collective and Gang Bonding for Three-Dimensional Integrated Circuits in Chip-on-Wafer Process. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amadine Jouve, Loïc Sanchez, Clément Castan, Nicolas Bresson, Frank Fournel, Nicolas Raynaud, Pascal Metzger Die to Wafer Direct Hybid Bonding Demonstration with High Alignment Accuracy and Electrical Yields. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Mitsumasa Koyanagi, Hiroyuki Hashimoto, Ji Chel Bea, Takafumi Fukushima Fabrication and Morphological Characterization of Nano-Scale Interconnects for 3D-Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sreejith Kochupurackal Rajan, Ming Jui Li, Muhannad S. Bakir, Gary S. May High Density and Low-Temperature Interconnection Enabled by Mechanical Self-Alignment and Electroless Plating. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Olivier Billoint, Karim Azizi-Mourier, Gerald Cibrario, Didier Lattard, Mehdi Mouhdach, Sébastien Thuries, Pascal Vivet Merging PDKs to Build a Design Environment for 3D Circuits: Methodology, Challenges and Limitations. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akihiro Noriki, Isao Tamai, Yasuhiro Ibusuki, Akio Ukita, Satoshi Suda, Daisuke Shimura, Yosuke Onawa, Hiroki Yaegashi, Takeru Amano Optical TSV Using Si-Photonics Integrated Curved Micro-Mirror. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akitsu Shigetou, Tilo H. Yang, C. Robert Kao Hydrolysis-Tolerant Hybrid Bonding in Ambient Atmosphere for 3D Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Koji Hamaguchi, Mitsuki Nakata, Kouta Segawa, Naoya Suzuki, Toshihisa Nonaka Investigation of the Influence of Material Properties on Warpage and Solder Joint Reliability of 2.5D & FO Package. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chuei-Tang Wang, Douglas Yu Power-Performance Advantages of InFO Technology for Advanced System Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alit Apriyana Anak Agung, Peng Zhao, Chuan Seng Tan TiN Guard Ring Around TSV for Cross-Talk Suppression of Parallel Networking of Data Center. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masahiro Nomura Heat Transfer in Nanostructured Si and Heat Flux Control Technique. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yoshiaki Hagiwara Multichip CMOS Image Sensor Structure for Flash Image Acquisition. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Koutaro Hachiya, Atsushi Kurokawa Variability Cancellation to Improve Diagnostic Performance of Testing through Silicon Vias in Power Distribution Network of 3D-IC. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tadao Nakamura An Introduction to Marching Memory (MM). Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tadatomo Yamada, Ken Takano, Toshiaki Menjo, Shinya Takyu Study of Optimizing Stress-Strain Curve of Adhesive for High Expansion Tape. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Takuji Miki, Makoto Nagata, Akihiro Tsukioka, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi Over-the-top Si Interposer Embedding Backside Buried Metal PDN to Reduce Power Supply Impedance of Large Scale Digital ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Po-Chih Chen, Demin Liu, Kuan-Neng Chen Low-Temperature Wafer-Level Metal Bonding with Gold Thin Film at 100 °C. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dimitrios Velenis, Joeri De Vos, Soon-Wook Kim, Jaber Derakhshandeh, Pieter Bex, Giovanni Capuz, Samuel Suhard, Kenneth June Rebibis, Stefaan Van Huylenbroeck, Erik Jan Marinissen, Alain Phommahaxay, Andy Miller, Gerald Beyer, Geert Van der Plas, Eric Beyne Process Complexity and Cost Considerations of Multi-Layer Die Stacks. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shunji Kurooka, Yoshinori Hotta, Ai Nakamura, Mitsumasa Koyanagi, Takafumi Fukushima Cu-Cu Bonding Challenges with 'i-ACF' for Advanced 3D Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Takahiro Nagata, Kazumichi Tsumura, Kenro Nakamura, Kengo Uchida, Jin Kawakita, Toyohiro Chikyow, Kazuyuki Higashi Photoelectroscopic Study of Mn Barrier Layer on SiO2 for Si Wafer Bonding Process. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rui Liang, Sungho Lee, Yuki Miwa, Kousei Kumahara, Mariappan Murugesan, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Impacts of Deposition Temperature and Annealing Condition on Ozone-Ethylene Radical Generation-TEOS-CVD SiO2 for Low-Temperature TSV Liner Formation. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shunsuke Hanatani, Takuya Yorioka, Tomohiro Shimizu, Takeshi Ito, Shoso Shingubara Study of MacEtch using Additives for Preparation of TSV. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Emilie Bourjot, Paul Stewart, Christophe Dubarry, E. Lagoutte, E. Rolland, Nicolas Bresson, G. Romano, D. Scevola, Viorel Balan, Jérôme Dechamp, Marc Zussy, Gaëlle Mauguen, Clément Castan, Loïc Sanchez, Amadine Jouve, Frank Fournel, Séverine Cheramy Towards a Complete Direct Hybrid Bonding D2W Integration Flow: Known-Good-Dies and Die Planarization Modules Development. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ziyue Zhang, Yingtao Ding, Zhiming Chen 0001, Mingrui Zhou, Lei Xiao, Ziru Cai, Miao Xiong, Xiao Gong Design and Evaluation of a Novel and Ultra-Compact Fully-TGV-based Self-Shielding Bandpass Filter for 5G Applications. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Timothy M. Hancock, Jeffrey C. Demmin Heterogeneous and 3D Integration at DARPA. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 625 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license