|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 18369 occurrences of 5291 keywords
|
|
|
Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
33 | Cheick Amed Diloma Gabriel Traore, Etienne Delay, Alassane Bah, Djibril Diop |
Agent-Based Modeling of the Spatio-temporal Distribution of Sahelian Transhumant Herds. |
IntelliSys (2) |
2022 |
DBLP DOI BibTeX RDF |
|
33 | Erik Burman, Guillaume Delay, Alexandre Ern |
A Hybridized High-Order Method for Unique Continuation Subject to the Helmholtz Equation. |
SIAM J. Numer. Anal. |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Lin Zhu, Chengwen Zhang, He Yin, Dingrui Li, Yu Su, Ishita Ray, Jiaojiao Dong, Fred Wang, Leon M. Tolbert, Yilu Liu, Yiwei Ma, Bruce Rogers, Jim Glass, Lilian Bruce, Samuel Delay, Peter Gregory, Mario Garcia-Sanz, Mirjana Marden |
A Smart and Flexible Microgrid With a Low-Cost Scalable Open-Source Controller. |
IEEE Access |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Somali Chaterji, Nathan DeLay, John Evans, Nathan Mosier, Bernard Engel, Dennis Buckmaster, Michael Ladisch, Ranveer Chandra |
Lattice: A Vision for Machine Learning, Data Engineering, and Policy Considerations for Digital Agriculture at Scale. |
IEEE Open J. Comput. Soc. |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Erik Burman, Matteo Cicuttin, Guillaume Delay, Alexandre Ern |
An Unfitted Hybrid High-Order Method with Cell Agglomeration for Elliptic Interface Problems. |
SIAM J. Sci. Comput. |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Jad Dabaghi, Guillaume Delay |
A unified framework for high-order numerical discretizations of variational inequalities. |
Comput. Math. Appl. |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Eranda Somathilake, Upekha Hansanie Delay, Janith Bandara Senanayaka, Samitha Gunarathne, Roshan Godaliyadda, Parakrama Ekanayake, Janaka V. Wijayakulasooriya, Chathura Rathnayake |
Assessment of Fetal and Maternal Well-Being During Pregnancy Using Passive Wearable Inertial Sensor. |
CoRR |
2021 |
DBLP BibTeX RDF |
|
33 | Janith Bandara Senanayaka, Eranda Somathilake, Upekha Hansanie Delay, Samitha Gunarathne, Roshan Godaliyadda, Parakrama Ekanayake, Janaka V. Wijayakulasooriya, Chathura Rathnayake |
Fetal Movement Identification from Multi-Accelerometer Measurements using Recurrent Neural Networks. |
ICIIS |
2021 |
DBLP DOI BibTeX RDF |
|
33 | Somali Chaterji, Nathan DeLay, John Evans, Nathan Mosier, Bernard Engel, Dennis Buckmaster, Ranveer Chandra |
Artificial Intelligence for Digital Agriculture at Scale: Techniques, Policies, and Challenges. |
CoRR |
2020 |
DBLP BibTeX RDF |
|
33 | Kian Jalaleddini, Seth J. Wilk, Robert B. Hamilton, Nicolas Canac, Samuel G. Thorpe, Michael John O'Brien, Mina Ranjbaran, Benjamin Delay, Amber Y. Dorn, Fabien Scalzo, Corey M. Thibeault |
Objective Assessment of Beat Quality in Transcranial Doppler Measurement of Blood Flow Velocity in Cerebral Arteries. |
IEEE Trans. Biomed. Eng. |
2020 |
DBLP DOI BibTeX RDF |
|
33 | Upekha Hansanie Delay, B. M. T. M. Nawarathne, D. W. S. V. B. Dissanayake, M. P. B. Ekanayake, G. M. R. I. Godaliyadda, J. V. Wijayakulasooriya, R. M. C. J. Rathnayake |
Non Invasive Wearable Device for Fetal Movement Detection. |
ICIIS |
2020 |
DBLP DOI BibTeX RDF |
|
33 | Marjan Cugmas, Dawn DeLay, Ales Ziberna, Anuska Ferligoj |
Symmetric core-cohesive blockmodel in preschool children's interaction networks. |
CoRR |
2019 |
DBLP BibTeX RDF |
|
33 | Guillaume Delay |
Local Stabilization of a Fluid-Structure System around a Stationary State with a Structure Given by a Finite Number of Parameters. |
SIAM J. Control. Optim. |
2019 |
DBLP DOI BibTeX RDF |
|
33 | Erik Burman, Guillaume Delay, Alexandre Ern |
The Unfitted HHO Method for the Stokes Problem on Curved Domains. |
ENUMATH |
2019 |
DBLP DOI BibTeX RDF |
|
33 | Nicolas Bécu, Marion Amalric, Brice Anselme, Elise Beck, Xavier Bertin, Etienne Delay, Nathalie Long, Nicolas Marilleau, Cécilia Pignon-Mussaud, Frédéric Rousseaux 0001 |
Participatory simulation to foster social learning on coastal flooding prevention. |
Environ. Model. Softw. |
2017 |
DBLP DOI BibTeX RDF |
|
33 | Giancarlo Crocetti, Amir A. Delay, Fatemeh Seyedmendhi |
Identifying Structures in Social Conversations in NSCLC Patients through the Semi-Automatic extraction of Topical Taxonomies. |
CoRR |
2016 |
DBLP BibTeX RDF |
|
33 | Thierry Alex Mara, Frederick Delay, François Lehmann, Anis Younes |
A comparison of two Bayesian approaches for uncertainty quantification. |
Environ. Model. Softw. |
2016 |
DBLP DOI BibTeX RDF |
|
33 | Mark Rahmes, John Delay, George Lemieux, Kevin L. Fox |
Optimal multi-dimensional fusion model for sensor allocation and accuracy assessment. |
SysCon |
2016 |
DBLP DOI BibTeX RDF |
|
33 | Carole Adam, Franck Taillandier, Etienne Delay, Odile Plattard, Mira Toumi |
SPRITE - Participatory Simulation for Raising Awareness About Coastal Flood Risk on the Oleron Island. |
ISCRAM-med |
2016 |
DBLP DOI BibTeX RDF |
|
33 | Mark Rahmes, Kevin L. Fox, John Delay, Gran Roe |
Matching social network biometrics using geo-analytical behavioral modeling. |
CIDM |
2014 |
DBLP DOI BibTeX RDF |
|
33 | Mark Rahmes, Rick Pemble, Kevin L. Fox, John Delay |
A cognitive hierarchical framework for evaluating emergency response activities. |
WOCC |
2014 |
DBLP DOI BibTeX RDF |
|
33 | Linda L. Werner, Jill Denner, Shannon Campe, Eloy Ortiz, Dawn DeLay, Amy C. Hartl, Brett Laursen |
Pair programming for middle school students: does friendship influence academic outcomes? |
SIGCSE |
2013 |
DBLP DOI BibTeX RDF |
|
33 | Sam Delay, Christopher Gray, Chris Holmes, Krish Gomatom |
Impact of Heat Pump Water Heater Sizing on Energy and Demand Savings: An Empirical Assessment in the Southeast United States. |
SOCA |
2013 |
DBLP DOI BibTeX RDF |
|
33 | Ramon Segura, Olivier Gauvry, Andre Terrasse, Alain Delay, Philippe Loussouarn, Arnaud Duvivier |
Next generation NATO satcom management and control services. |
MILCOM |
2012 |
DBLP DOI BibTeX RDF |
|
33 | Anne L. Martel, Alan R. Moody, Steven J. Allder, Gota S. Delay, Paul S. Morgan |
Extracting parametric images from dynamic contrast-enhanced MRI studies of the brain using factor analysis. |
Medical Image Anal. |
2001 |
DBLP DOI BibTeX RDF |
|
33 | John L. DeLay |
Broadband Data Delivery over Television Networks. |
Workshop on Digital and Computational Video |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Anne L. Martel, Steven J. Allder, Gota S. Delay, Paul S. Morgan, Alan R. Moody |
Measurement of Infarct Volume in Stroke Patients Using Adaptive Segmentation of Diffusion Weighted MR Images. |
MICCAI |
1999 |
DBLP DOI BibTeX RDF |
|
33 | Longxin Lin, Jie Zhou 0008, Zhao Ye |
Overlay Multicast Routing Algorithm with Delay and Delay Variation Constraints. |
APPT |
2007 |
DBLP DOI BibTeX RDF |
Delay and Delay Variation, Multicast, Overlay Network |
33 | Lei Wang 0014, Carl McCrosky |
Performance Comparison of Control Schemes for ABR Service in ATM LANs. |
MASCOTS |
1997 |
DBLP DOI BibTeX RDF |
ABR service, ATM Forum, available bit rate service, constrained cell loss, network resource utilization, CBR/VBR services, burst level traffic control, rate based feedback control, loss sensitive applications, delay insensitive applications, burst transfer delay, simulation, asynchronous transfer mode, bandwidth, performance comparison, ATM LAN, delay variation |
32 | Luís Guerra e Silva, João Marques-Silva 0001, Luís Miguel Silveira, Karem A. Sakallah |
Satisfiability models and algorithms for circuit delay computation. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
circuit delay computation, timing analysis, Boolean satisfiability, delay modeling, false path |
32 | Zhongcheng Li, Yinghua Min, Robert K. Brayton |
A New Low-Cost Method for Identifying Untestable Path Delay Faults. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
non-robustly untestable, Delay testing, path delay fault, implication |
32 | Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen |
Identification of robust untestable path delay faults. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
robust untestable path delay faults, path reconvergence of fanouts, ISCAS 85' circuits, total path delay faults, six-valued logic, propagation graph, ROUNTEST program, fault diagnosis, logic testing, delays, partitioning, ATPG, combinational circuits, combinational circuits, automatic testing, logic CAD, multivalued logic, logic partitioning, signal flow graphs, fault identification |
32 | Mandyam-Komar Srinivas, Vishwani D. Agrawal, Michael L. Bushnell |
Functional test generation for path delay faults. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
growth faults, disappearance faults, robustly detectable path delay faults, two-level circuit, algebraic transformations, generated vectors, algebraically factored multilevel circuit, scan/hold versions, ISCAS89 circuits, fault diagnosis, logic testing, delays, timings, fault coverages, fault location, programmable logic arrays, programmable logic arrays, PLA, multivalued logic, path delay faults, functional test generation, stuck faults |
32 | Keerthi Heragu, Vishwani D. Agrawal, Michael L. Bushnell |
Statistical methods for delay fault coverage analysis. |
VLSI Design |
1995 |
DBLP DOI BibTeX RDF |
delay fault coverage analysis, true value simulation, multi-value logic system, implicit random path sampling procedure, linear-time estimate, fault coverage estimates, longest path theorem, fanout branches, fault diagnosis, logic testing, delays, probability, statistical analysis, observabilities, multivalued logic, propagation delay, detection probabilities, statistical techniques, transition probabilities |
32 | Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 |
Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor. |
IOLTS |
2006 |
DBLP DOI BibTeX RDF |
Test-per-scan BIST, delay sensor, fault diagnosis, fault localization, test point insertion |
32 | Mouna Benaissa, Vincent Lecuire |
A New Smoothing Jitter Algorithm for Voice over Ad Hoc Networks. |
MWCN |
2004 |
DBLP DOI BibTeX RDF |
packet audio, ad hoc network, AODV, delay estimation, playout delay |
32 | Irith Pomeranz, Sudhakar M. Reddy |
Transition Path Delay Faults: A New Path Delay Fault Model for Small and Large Delay Defects. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Paschalis Raptis, Vasileios Vitsas, Konstantinos Paparrizos |
Packet Delay Metrics for IEEE 802.11 Distributed Coordination Function. |
Mob. Networks Appl. |
2009 |
DBLP DOI BibTeX RDF |
802.11 DCF, delay performance, wireless networks |
32 | Nabhendra Bisnik, Alhussein A. Abouzeid |
Delay and capacity in energy efficient sensor networks. |
PE-WASUN |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, delay, throughput, queuing theory |
32 | Himabindu Pucha, Ying Zhang 0022, Zhuoqing Morley Mao, Y. Charlie Hu |
Understanding network delay changes caused by routing events. |
SIGMETRICS |
2007 |
DBLP DOI BibTeX RDF |
network delay changes, network jitter changes, routing events, routing dynamics |
32 | Narender Hanchate, Nagarajan Ranganathan |
A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing. |
ACM Trans. Design Autom. Electr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Game theory, transmission lines, crosstalk noise, interconnect models, wire sizing, interconnect delay |
32 | Jun (Jim) Xu, Richard J. Lipton |
On fundamental tradeoffs between delay bounds and computational complexity in packet scheduling algorithms. |
IEEE/ACM Trans. Netw. |
2005 |
DBLP DOI BibTeX RDF |
quality of service, computational complexity, decision tree, packet scheduling, delay bound |
32 | Srivathsan Krishnamohan, Nihar R. Mahapatra |
An analysis of the robustness of CMOS delay elements. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
delay element, process variation, yield, Monte Carlo simulation |
32 | Guohong Cao, Mukesh Singhal |
A Delay-Optimal Quorum-Based Mutual Exclusion Algorithm for Distributed Systems. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
fault tolerance, Quorum, distributed mutual exclusion, synchronization delay |
32 | Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel |
Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. |
IOLTW |
2000 |
DBLP DOI BibTeX RDF |
BIST, Random Testing, Delay Testing, Bridging Faults |
32 | Huawei Li 0001, Zhongcheng Li, Yinghua Min |
Delay Testing with Double Observations. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
linearly independent, test generation, observation, delay testing |
31 | Matthew Andrews, Lisa Zhang |
Satisfying Arbitrary Delay Requirements in Multihop Networks. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Mehdi Rezaei, Moncef Gabbouj, Imed Bouazizi |
Delay Constrained Fuzzy Rate Control for Video Streaming over DVB-H. |
IIH-MSP |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Andrew B. Kahng, Sudhakar Muddu |
An analytical delay model for RLC interconnects. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Kyriakos Christou, Maria K. Michael, Spyros Tragoudas |
On the Use of ZBDDs for Implicit and Compact Critical Path Delay Fault Test Generation. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Zero-suppressed binary decision diagram, Irredundant sum-of-products, Critical path delay faults, Compact test generation, Delay testing, Path delay faults |
31 | Spyros Tragoudas, N. Denny |
Path delay fault testing using test points. |
ACM Trans. Design Autom. Electr. Syst. |
2003 |
DBLP DOI BibTeX RDF |
path delay fault simulation (coverage), testing digital circuits, design for testability, Automatic test pattern generation, delay testing, path delay fault testing |
30 | Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara |
On Selecting Testable Paths in Scan Designs. |
J. Electron. Test. |
2003 |
DBLP DOI BibTeX RDF |
testable path, delay testing, delay fault, path delay fault, path selection |
30 | Maria Teresa Andrade, Artur Pimenta Alves |
Experiments with Dynamic Multiplexing and UPC Renegotiation for Video over ATM. |
NETWORKING |
2000 |
DBLP DOI BibTeX RDF |
renegotiation Abbreviations: AAL, ATM Adaptation Layer, ACTS, Advanced Communications, Technologies & Services, CDV, Cell Delay Variation, CDVT, Cell Delay Variation Tolerance, CTD, Cell Transfer Delay, EFCI, Explicit Forward Congestion Indication, GOP, Group Of Pictures, MBS, Maximum Burst Size, Motion Picture Expert Group, Network Interface Card, Peak Cell Rate, MCR, Minimum Cell Rate, Resource and Management, Sustainable Cell Rate, UNI, User Network Interface, Usage Parameter Control, Quality of Service, QoS, Quality of Service, ATM, ATM, Asynchronous Transfer Mode, VoD, Video on Demand, CAC, MPEG, CBR, statistical multiplexing, VBR, Variable Bit Rate, Connection Admission Control, UPC, UPC, ABR, Virtual Circuit, RM, Available Bit Rate, SCR, PCR, VC, NIC, Constant Bit Rate |
30 | Chengzhi Li, Riccardo Bettati, Wei Zhao 0001 |
Static priority scheduling for ATM networks. |
RTSS |
1997 |
DBLP DOI BibTeX RDF |
dynamic priority scheduling, delay computation, priority assignment problems, potential cyclic dependency, unstable network, delay sensitive applications, worst case end to end delays, priority assignment methods, asynchronous transfer mode, ATM networks, numerical method, ATM switches, packet delays, arbitrary topology, delay constraints, priority assignment, traffic scheduling, static priority scheduling, computing error |
30 | Praveen Jayachandran, Tarek F. Abdelzaher |
Delay composition in preemptive and non-preemptive real-time pipelines. |
Real Time Syst. |
2008 |
DBLP DOI BibTeX RDF |
Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay |
30 | Yu Wang 0019, Hongyi Wu |
Delay/Fault-Tolerant Mobile Sensor Network (DFT-MSN): A New Paradigm for Pervasive Information Gathering. |
IEEE Trans. Mob. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Delay/fault-tolerant mobile sensor network, delivery delay, delivery probability, DFT-MSN, pervasive information gathering, transmission overhead, replication, queuing theory, erasure coding |
30 | Tien-Ting Fang, Ting-Chi Wang |
Fast Buffered Delay Estimation Considering Process Variations. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
statistical buffer insertion method, buffered delay estimation, first-order canonical forms, buffer blockages, deterministic delay estimation method, process variations |
30 | Shibin Song, Joseph Kee-Yin Ng, Bihai Tang |
Efficient Delay Computation Methods for an ATM Network with Real-Time Video Traffic. |
RTSS |
1999 |
DBLP DOI BibTeX RDF |
Real-Time ATM Networks, Worst Case Delay Analysis, Efficient Delay Computation, Performance Evaluation |
30 | Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas |
Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. |
J. Electron. Test. |
1998 |
DBLP DOI BibTeX RDF |
statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults |
30 | Subhashis Majumder, Michael L. Bushnell, Vishwani D. Agrawal |
Path Delay Testing: Variable-Clock Versus Rated-Clock. |
VLSI Design |
1998 |
DBLP DOI BibTeX RDF |
rated-clock testing, slow-clock testing, Delay testing, path delay faults, sequential circuit test |
30 | Kazumi Hatayama, Mitsuji Ikeda, Masahiro Takakura, Satoshi Uchiyama, Yoriyuki Sakamoto |
Application of a Design for Delay Testability Approach to High Speed Logic LSIs. |
Asian Test Symposium |
1997 |
DBLP DOI BibTeX RDF |
Delay Test Generation, Design for Testability, Delay Testing |
30 | Maggie Zhiwei Kang, Wayne Wei-Ming Dai, Tom Dillinger, David P. LaPotin |
Delay bounded buffered tree construction for timing driven floorplanning. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
Total Wire Length, DBB-tree, SPT, Floorplanning, Buffer Insertion, Delay Bounds, Elmore Delay, MST |
30 | Shashidhar Thakur, D. F. Wong 0001 |
Simultaneous area and delay minimum K-LUT mapping for K-exact networks. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
area/delay minimum K-LUT mapping, K-exact networks, technology mapping problem, lookup table FPGAs, area minimization problem, K-bounded networks, delay minimization problem, flow-map algorithm, field programmable gate arrays, computational complexity, complexity, NP-complete, logic design, polynomial time algorithm, programmable logic arrays, table lookup, minimisation of switching nets |
30 | Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez |
Diagnostic of path and gate delay faults in non-scan sequential circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults |
30 | Hung-Ying Tyan, Jennifer C. Hou, Bin Wang 0002 |
Many to Many Multicast Routing With Temporal Quality of Service Guarantees. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
many-to-many multicast routing, delay and delay jitter constraints, source-based multicast tree, core-based multicast tree, QoS |
30 | Norival R. Figueira, Joseph Pasquale |
VirtualQueue: A Technique for Packet Voice Stream Reconstruction. |
ICMCS, Vol. 2 |
1999 |
DBLP DOI BibTeX RDF |
packet voice streams, voice play-back, play-back delay, delay jitter compensation |
30 | Daniel A. Menascé, Yelena Yesha, Konstantinos Kalpakis |
On a Unified Framework for the Evaluation of Distributed Quorum Attainment Protocols. |
IEEE Trans. Software Eng. |
1994 |
DBLP DOI BibTeX RDF |
distributed quorum attainment protocols, unified analytical model, Majority Consensus algorithms, primary site approach, parallel version, tree-based mutual exclusion protocols, fault tolerance, performability, distributed systems, performance analysis, protocols, distributed algorithms, software performance evaluation, software fault tolerance, performability metric, network delay, unified framework, protocol performance, delay analysis, mutual exclusion algorithms |
30 | Chenhui Hu, Xinbing Wang, Feng Wu 0001 |
MotionCast: on the capacity and delay tradeoffs. |
MobiHoc |
2009 |
DBLP DOI BibTeX RDF |
mobility, multicast, delay, capacity, scaling law |
30 | Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl |
Considering possible opens in non-tree topology wire delay calculation. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
non-tree topologies, yield, static timing analysis, delay analysis |
30 | Bruno D. Abrahao, Robert D. Kleinberg |
On the internet delay space dimensionality. |
Internet Measurement Conference |
2008 |
DBLP DOI BibTeX RDF |
delay space, internet structure, dimensionality, network embedding |
30 | Gaurav Sharma 0002, Ravi Mazumdar, Ness B. Shroff |
Delay and capacity trade-offs in mobile ad hoc networks: a global perspective. |
IEEE/ACM Trans. Netw. |
2007 |
DBLP DOI BibTeX RDF |
Ad-hoc networks, mobility, wireless, delay, throughput, capacity, trade-offs |
30 | Jia Di, Parag K. Lala |
Cellular Array-based Delay-insensitive Asynchronous Circuits Design and Test for Nanocomputing Systems. |
J. Electron. Test. |
2007 |
DBLP DOI BibTeX RDF |
Reed-Muller expression, nanoscale circuit, layout, stuck-at fault, cellular arrays, delay-insensitive circuit |
30 | Bamba Gueye, Steve Uhlig, Artur Ziviani, Serge Fdida |
Leveraging Buffering Delay Estimation for Geolocation of Internet Hosts. |
Networking |
2006 |
DBLP DOI BibTeX RDF |
buffering delay estimation, geolocation, traceroute, multilateration |
30 | Guoliang Xue, Wei Xiao |
A Polynomial Time Approximation Scheme for Minimum Cost Delay-Constrained Multicast Tree under a Steiner Topology. |
Algorithmica |
2005 |
DBLP DOI BibTeX RDF |
Minimum cost delay-constrained network under a Steiner topology, Quality of service, Computer communications, Fully polynomial time approximation scheme |
30 | Huawei Li 0001, Xiaowei Li 0001 |
Selection of Crosstalk-Induced Faults in Enhanced Delay Test. |
J. Electron. Test. |
2005 |
DBLP DOI BibTeX RDF |
automatic test pattern generation (ATPG), crosstalk, delay test, critical paths |
30 | Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff |
Soft Delay Error Effects in CMOS Combinational Circuits. |
VTS |
2004 |
DBLP DOI BibTeX RDF |
Soft delay, single event upsets (SEUs), soft error rate (SER), soft errors |
30 | Tom Jehaes, Danny De Vleeschauwer, Toon Coppens, Bart Van Doorselaer, Eva Deckers, W. Naudts, K. Spruyt, R. Smets |
Access network delay in networked games. |
NETGAMES |
2003 |
DBLP DOI BibTeX RDF |
quality of service (QoS), delay, access networks |
30 | Murat R. Becer, Ibrahim N. Hajj |
An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. |
ISQED |
2000 |
DBLP DOI BibTeX RDF |
delay, analytical model, decoupling, Crosstalk noise |
30 | Guohong Cao, Mukesh Singhal, Yi Deng 0001, Naphtali Rishe, Wei Sun 0002 |
A Delay-Optimal Quorum-Based Mutual Exclusion Scheme with Fault-Tolerance Capability. |
ICDCS |
1998 |
DBLP DOI BibTeX RDF |
fault-tolerance, Quorum, distributed mutual exclusion, synchronization delay |
29 | Hiran Tennakoon, Carl Sechen |
Nonconvex Gate Delay Modeling and Delay Optimization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Moonseong Kim, Young-Cheol Bang, Hyunseung Choo |
On Multicasting Steiner Trees for Delay and Delay Variation Constraints. |
HPCC |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Mouna Benaissa, Vincent Lecuire, Francis Lepage, André Schaff |
Efficient De-Jitter Control for Voice Applications over Wireless Ad Hoc Networks. |
Telecommun. Syst. |
2005 |
DBLP DOI BibTeX RDF |
voice packets, wireless ad hoc network, AODV, jitter control, speech quality, playout delay |
29 | Ming-Chien Tsai, Ching-Hwa Cheng |
A full-synthesizable high-precision built-in delay time measurement circuit. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang |
An All-Digital High-Precision Built-In Delay Time Measurement Circuit. |
VTS |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Jun Yoneyama |
New Robust Stability Conditions and Design of Robust Stabilizing Controllers for Takagi-Sugeno Fuzzy Time-Delay Systems. |
IEEE Trans. Fuzzy Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Masashi Imai, Takashi Nanya |
A Novel Design Method for Asynchronous Bundled-data Transfer Circuits Considering Characteristics of Delay Variations. |
ASYNC |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Masanori Hashimoto, Hidetoshi Onodeva |
Increase in delay uncertainty by performance optimization. |
ISCAS (5) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Xiaodong Yang, Walter H. Ku, Chung-Kuan Cheng |
RLC interconnect delay estimation via moments of amplitude and phase response. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Rohini Gupta, Bogdan Tutuianu, Lawrence T. Pileggi |
The Elmore delay as a bound for RC trees with generalized input signals. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
29 | Foong-Charn Chang, Chin-Fu Chen, Prasad Subramaniam |
An Accurate and Efficient Gate Level Delay Calculator for MOS Circuits. |
DAC |
1988 |
DBLP BibTeX RDF |
|
29 | Jason Helge Anderson, Farid N. Najm |
Switching activity analysis and pre-layout activity prediction for FPGAs. |
SLIP |
2003 |
DBLP DOI BibTeX RDF |
FPGAs, field-programmable gate arrays, low-power design, power, estimation |
29 | Cheng-Shang Chang, Duan-Shin Lee, Ching-Ming Lien |
Load balanced Birkhoff-von Neumann switches with resequencing. |
SIGMETRICS Perform. Evaluation Rev. |
2001 |
DBLP DOI BibTeX RDF |
|
28 | Yuan-Chieh Hsu, Sandeep K. Gupta 0001 |
A Simulator for At-Speed Robust Testing of Path Delay Faults in Combinational Circuits. |
IEEE Trans. Computers |
1996 |
DBLP DOI BibTeX RDF |
robust path delay testing, at-speed delay testing, fault simulation, Delay testing |
28 | Qiufeng Cai, Jianjiang Yu |
Further Stability Analysis for Neural Networks with Time-Varying Interval Delay. |
ISNN (1) |
2009 |
DBLP DOI BibTeX RDF |
Lyapunov-Krasovskii functional, Delay-dependent, Time-varying interval delay, Neural networks (NNs) |
28 | Heejin Lim, Yoonsuck Choe |
Facilitating neural dynamics for delay compensation and prediction in evolutionary neural networks. |
GECCO |
2006 |
DBLP DOI BibTeX RDF |
delay compensation, facilitating synapses, neural delay, pole balancing, extrapolation, evolutionary neural networks |
28 | Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak |
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
delay ATPG, delay fault diagnosis, statistical timing models |
28 | Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi |
False-Path Removal Using Delay Fault Simulation. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal |
28 | Irith Pomeranz, Sudhakar M. Reddy |
Vector-Based Functional Fault Models for Delay Faults. |
Asian Test Symposium |
1999 |
DBLP DOI BibTeX RDF |
functional tests, delay faults, path delay faults |
28 | Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal |
Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests. |
J. Electron. Test. |
1997 |
DBLP DOI BibTeX RDF |
digital circuit testing, test generation, fault models, delay test, path delay faults |
28 | Jacob Savir |
Delay Test Generation: A Hardware Perspective. |
J. Electron. Test. |
1997 |
DBLP DOI BibTeX RDF |
transition test, skewed-load delay test, shift dependency, cellular automata, linear feedback shift register, delay test, pseudo-random test |
Displaying result #101 - #200 of 49999 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|