The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
33Cheick Amed Diloma Gabriel Traore, Etienne Delay, Alassane Bah, Djibril Diop Agent-Based Modeling of the Spatio-temporal Distribution of Sahelian Transhumant Herds. Search on Bibsonomy IntelliSys (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
33Erik Burman, Guillaume Delay, Alexandre Ern A Hybridized High-Order Method for Unique Continuation Subject to the Helmholtz Equation. Search on Bibsonomy SIAM J. Numer. Anal. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Lin Zhu, Chengwen Zhang, He Yin, Dingrui Li, Yu Su, Ishita Ray, Jiaojiao Dong, Fred Wang, Leon M. Tolbert, Yilu Liu, Yiwei Ma, Bruce Rogers, Jim Glass, Lilian Bruce, Samuel Delay, Peter Gregory, Mario Garcia-Sanz, Mirjana Marden A Smart and Flexible Microgrid With a Low-Cost Scalable Open-Source Controller. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Somali Chaterji, Nathan DeLay, John Evans, Nathan Mosier, Bernard Engel, Dennis Buckmaster, Michael Ladisch, Ranveer Chandra Lattice: A Vision for Machine Learning, Data Engineering, and Policy Considerations for Digital Agriculture at Scale. Search on Bibsonomy IEEE Open J. Comput. Soc. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Erik Burman, Matteo Cicuttin, Guillaume Delay, Alexandre Ern An Unfitted Hybrid High-Order Method with Cell Agglomeration for Elliptic Interface Problems. Search on Bibsonomy SIAM J. Sci. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Jad Dabaghi, Guillaume Delay A unified framework for high-order numerical discretizations of variational inequalities. Search on Bibsonomy Comput. Math. Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Eranda Somathilake, Upekha Hansanie Delay, Janith Bandara Senanayaka, Samitha Gunarathne, Roshan Godaliyadda, Parakrama Ekanayake, Janaka V. Wijayakulasooriya, Chathura Rathnayake Assessment of Fetal and Maternal Well-Being During Pregnancy Using Passive Wearable Inertial Sensor. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
33Janith Bandara Senanayaka, Eranda Somathilake, Upekha Hansanie Delay, Samitha Gunarathne, Roshan Godaliyadda, Parakrama Ekanayake, Janaka V. Wijayakulasooriya, Chathura Rathnayake Fetal Movement Identification from Multi-Accelerometer Measurements using Recurrent Neural Networks. Search on Bibsonomy ICIIS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Somali Chaterji, Nathan DeLay, John Evans, Nathan Mosier, Bernard Engel, Dennis Buckmaster, Ranveer Chandra Artificial Intelligence for Digital Agriculture at Scale: Techniques, Policies, and Challenges. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
33Kian Jalaleddini, Seth J. Wilk, Robert B. Hamilton, Nicolas Canac, Samuel G. Thorpe, Michael John O'Brien, Mina Ranjbaran, Benjamin Delay, Amber Y. Dorn, Fabien Scalzo, Corey M. Thibeault Objective Assessment of Beat Quality in Transcranial Doppler Measurement of Blood Flow Velocity in Cerebral Arteries. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Upekha Hansanie Delay, B. M. T. M. Nawarathne, D. W. S. V. B. Dissanayake, M. P. B. Ekanayake, G. M. R. I. Godaliyadda, J. V. Wijayakulasooriya, R. M. C. J. Rathnayake Non Invasive Wearable Device for Fetal Movement Detection. Search on Bibsonomy ICIIS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Marjan Cugmas, Dawn DeLay, Ales Ziberna, Anuska Ferligoj Symmetric core-cohesive blockmodel in preschool children's interaction networks. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
33Guillaume Delay Local Stabilization of a Fluid-Structure System around a Stationary State with a Structure Given by a Finite Number of Parameters. Search on Bibsonomy SIAM J. Control. Optim. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Erik Burman, Guillaume Delay, Alexandre Ern The Unfitted HHO Method for the Stokes Problem on Curved Domains. Search on Bibsonomy ENUMATH The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Nicolas Bécu, Marion Amalric, Brice Anselme, Elise Beck, Xavier Bertin, Etienne Delay, Nathalie Long, Nicolas Marilleau, Cécilia Pignon-Mussaud, Frédéric Rousseaux 0001 Participatory simulation to foster social learning on coastal flooding prevention. Search on Bibsonomy Environ. Model. Softw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Giancarlo Crocetti, Amir A. Delay, Fatemeh Seyedmendhi Identifying Structures in Social Conversations in NSCLC Patients through the Semi-Automatic extraction of Topical Taxonomies. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
33Thierry Alex Mara, Frederick Delay, François Lehmann, Anis Younes A comparison of two Bayesian approaches for uncertainty quantification. Search on Bibsonomy Environ. Model. Softw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Mark Rahmes, John Delay, George Lemieux, Kevin L. Fox Optimal multi-dimensional fusion model for sensor allocation and accuracy assessment. Search on Bibsonomy SysCon The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Carole Adam, Franck Taillandier, Etienne Delay, Odile Plattard, Mira Toumi SPRITE - Participatory Simulation for Raising Awareness About Coastal Flood Risk on the Oleron Island. Search on Bibsonomy ISCRAM-med The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Mark Rahmes, Kevin L. Fox, John Delay, Gran Roe Matching social network biometrics using geo-analytical behavioral modeling. Search on Bibsonomy CIDM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Mark Rahmes, Rick Pemble, Kevin L. Fox, John Delay A cognitive hierarchical framework for evaluating emergency response activities. Search on Bibsonomy WOCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Linda L. Werner, Jill Denner, Shannon Campe, Eloy Ortiz, Dawn DeLay, Amy C. Hartl, Brett Laursen Pair programming for middle school students: does friendship influence academic outcomes? Search on Bibsonomy SIGCSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
33Sam Delay, Christopher Gray, Chris Holmes, Krish Gomatom Impact of Heat Pump Water Heater Sizing on Energy and Demand Savings: An Empirical Assessment in the Southeast United States. Search on Bibsonomy SOCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
33Ramon Segura, Olivier Gauvry, Andre Terrasse, Alain Delay, Philippe Loussouarn, Arnaud Duvivier Next generation NATO satcom management and control services. Search on Bibsonomy MILCOM The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33Anne L. Martel, Alan R. Moody, Steven J. Allder, Gota S. Delay, Paul S. Morgan Extracting parametric images from dynamic contrast-enhanced MRI studies of the brain using factor analysis. Search on Bibsonomy Medical Image Anal. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33John L. DeLay Broadband Data Delivery over Television Networks. Search on Bibsonomy Workshop on Digital and Computational Video The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Anne L. Martel, Steven J. Allder, Gota S. Delay, Paul S. Morgan, Alan R. Moody Measurement of Infarct Volume in Stroke Patients Using Adaptive Segmentation of Diffusion Weighted MR Images. Search on Bibsonomy MICCAI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Longxin Lin, Jie Zhou 0008, Zhao Ye Overlay Multicast Routing Algorithm with Delay and Delay Variation Constraints. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delay and Delay Variation, Multicast, Overlay Network
33Lei Wang 0014, Carl McCrosky Performance Comparison of Control Schemes for ABR Service in ATM LANs. Search on Bibsonomy MASCOTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ABR service, ATM Forum, available bit rate service, constrained cell loss, network resource utilization, CBR/VBR services, burst level traffic control, rate based feedback control, loss sensitive applications, delay insensitive applications, burst transfer delay, simulation, asynchronous transfer mode, bandwidth, performance comparison, ATM LAN, delay variation
32Luís Guerra e Silva, João Marques-Silva 0001, Luís Miguel Silveira, Karem A. Sakallah Satisfiability models and algorithms for circuit delay computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF circuit delay computation, timing analysis, Boolean satisfiability, delay modeling, false path
32Zhongcheng Li, Yinghua Min, Robert K. Brayton A New Low-Cost Method for Identifying Untestable Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-robustly untestable, Delay testing, path delay fault, implication
32Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen Identification of robust untestable path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF robust untestable path delay faults, path reconvergence of fanouts, ISCAS 85' circuits, total path delay faults, six-valued logic, propagation graph, ROUNTEST program, fault diagnosis, logic testing, delays, partitioning, ATPG, combinational circuits, combinational circuits, automatic testing, logic CAD, multivalued logic, logic partitioning, signal flow graphs, fault identification
32Mandyam-Komar Srinivas, Vishwani D. Agrawal, Michael L. Bushnell Functional test generation for path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF growth faults, disappearance faults, robustly detectable path delay faults, two-level circuit, algebraic transformations, generated vectors, algebraically factored multilevel circuit, scan/hold versions, ISCAS89 circuits, fault diagnosis, logic testing, delays, timings, fault coverages, fault location, programmable logic arrays, programmable logic arrays, PLA, multivalued logic, path delay faults, functional test generation, stuck faults
32Keerthi Heragu, Vishwani D. Agrawal, Michael L. Bushnell Statistical methods for delay fault coverage analysis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay fault coverage analysis, true value simulation, multi-value logic system, implicit random path sampling procedure, linear-time estimate, fault coverage estimates, longest path theorem, fanout branches, fault diagnosis, logic testing, delays, probability, statistical analysis, observabilities, multivalued logic, propagation delay, detection probabilities, statistical techniques, transition probabilities
32Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-per-scan BIST, delay sensor, fault diagnosis, fault localization, test point insertion
32Mouna Benaissa, Vincent Lecuire A New Smoothing Jitter Algorithm for Voice over Ad Hoc Networks. Search on Bibsonomy MWCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF packet audio, ad hoc network, AODV, delay estimation, playout delay
32Irith Pomeranz, Sudhakar M. Reddy Transition Path Delay Faults: A New Path Delay Fault Model for Small and Large Delay Defects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Paschalis Raptis, Vasileios Vitsas, Konstantinos Paparrizos Packet Delay Metrics for IEEE 802.11 Distributed Coordination Function. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 802.11 DCF, delay performance, wireless networks
32Nabhendra Bisnik, Alhussein A. Abouzeid Delay and capacity in energy efficient sensor networks. Search on Bibsonomy PE-WASUN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor networks, delay, throughput, queuing theory
32Himabindu Pucha, Ying Zhang 0022, Zhuoqing Morley Mao, Y. Charlie Hu Understanding network delay changes caused by routing events. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network delay changes, network jitter changes, routing events, routing dynamics
32Narender Hanchate, Nagarajan Ranganathan A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, transmission lines, crosstalk noise, interconnect models, wire sizing, interconnect delay
32Jun (Jim) Xu, Richard J. Lipton On fundamental tradeoffs between delay bounds and computational complexity in packet scheduling algorithms. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quality of service, computational complexity, decision tree, packet scheduling, delay bound
32Srivathsan Krishnamohan, Nihar R. Mahapatra An analysis of the robustness of CMOS delay elements. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay element, process variation, yield, Monte Carlo simulation
32Guohong Cao, Mukesh Singhal A Delay-Optimal Quorum-Based Mutual Exclusion Algorithm for Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault tolerance, Quorum, distributed mutual exclusion, synchronization delay
32Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Random Testing, Delay Testing, Bridging Faults
32Huawei Li 0001, Zhongcheng Li, Yinghua Min Delay Testing with Double Observations. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF linearly independent, test generation, observation, delay testing
31Matthew Andrews, Lisa Zhang Satisfying Arbitrary Delay Requirements in Multihop Networks. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Mehdi Rezaei, Moncef Gabbouj, Imed Bouazizi Delay Constrained Fuzzy Rate Control for Video Streaming over DVB-H. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Andrew B. Kahng, Sudhakar Muddu An analytical delay model for RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Kyriakos Christou, Maria K. Michael, Spyros Tragoudas On the Use of ZBDDs for Implicit and Compact Critical Path Delay Fault Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Zero-suppressed binary decision diagram, Irredundant sum-of-products, Critical path delay faults, Compact test generation, Delay testing, Path delay faults
31Spyros Tragoudas, N. Denny Path delay fault testing using test points. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF path delay fault simulation (coverage), testing digital circuits, design for testability, Automatic test pattern generation, delay testing, path delay fault testing
30Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On Selecting Testable Paths in Scan Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testable path, delay testing, delay fault, path delay fault, path selection
30Maria Teresa Andrade, Artur Pimenta Alves Experiments with Dynamic Multiplexing and UPC Renegotiation for Video over ATM. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF renegotiation Abbreviations: AAL, ATM Adaptation Layer, ACTS, Advanced Communications, Technologies & Services, CDV, Cell Delay Variation, CDVT, Cell Delay Variation Tolerance, CTD, Cell Transfer Delay, EFCI, Explicit Forward Congestion Indication, GOP, Group Of Pictures, MBS, Maximum Burst Size, Motion Picture Expert Group, Network Interface Card, Peak Cell Rate, MCR, Minimum Cell Rate, Resource and Management, Sustainable Cell Rate, UNI, User Network Interface, Usage Parameter Control, Quality of Service, QoS, Quality of Service, ATM, ATM, Asynchronous Transfer Mode, VoD, Video on Demand, CAC, MPEG, CBR, statistical multiplexing, VBR, Variable Bit Rate, Connection Admission Control, UPC, UPC, ABR, Virtual Circuit, RM, Available Bit Rate, SCR, PCR, VC, NIC, Constant Bit Rate
30Chengzhi Li, Riccardo Bettati, Wei Zhao 0001 Static priority scheduling for ATM networks. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic priority scheduling, delay computation, priority assignment problems, potential cyclic dependency, unstable network, delay sensitive applications, worst case end to end delays, priority assignment methods, asynchronous transfer mode, ATM networks, numerical method, ATM switches, packet delays, arbitrary topology, delay constraints, priority assignment, traffic scheduling, static priority scheduling, computing error
30Praveen Jayachandran, Tarek F. Abdelzaher Delay composition in preemptive and non-preemptive real-time pipelines. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay
30Yu Wang 0019, Hongyi Wu Delay/Fault-Tolerant Mobile Sensor Network (DFT-MSN): A New Paradigm for Pervasive Information Gathering. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delay/fault-tolerant mobile sensor network, delivery delay, delivery probability, DFT-MSN, pervasive information gathering, transmission overhead, replication, queuing theory, erasure coding
30Tien-Ting Fang, Ting-Chi Wang Fast Buffered Delay Estimation Considering Process Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical buffer insertion method, buffered delay estimation, first-order canonical forms, buffer blockages, deterministic delay estimation method, process variations
30Shibin Song, Joseph Kee-Yin Ng, Bihai Tang Efficient Delay Computation Methods for an ATM Network with Real-Time Video Traffic. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Real-Time ATM Networks, Worst Case Delay Analysis, Efficient Delay Computation, Performance Evaluation
30Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
30Subhashis Majumder, Michael L. Bushnell, Vishwani D. Agrawal Path Delay Testing: Variable-Clock Versus Rated-Clock. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF rated-clock testing, slow-clock testing, Delay testing, path delay faults, sequential circuit test
30Kazumi Hatayama, Mitsuji Ikeda, Masahiro Takakura, Satoshi Uchiyama, Yoriyuki Sakamoto Application of a Design for Delay Testability Approach to High Speed Logic LSIs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Test Generation, Design for Testability, Delay Testing
30Maggie Zhiwei Kang, Wayne Wei-Ming Dai, Tom Dillinger, David P. LaPotin Delay bounded buffered tree construction for timing driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Total Wire Length, DBB-tree, SPT, Floorplanning, Buffer Insertion, Delay Bounds, Elmore Delay, MST
30Shashidhar Thakur, D. F. Wong 0001 Simultaneous area and delay minimum K-LUT mapping for K-exact networks. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF area/delay minimum K-LUT mapping, K-exact networks, technology mapping problem, lookup table FPGAs, area minimization problem, K-bounded networks, delay minimization problem, flow-map algorithm, field programmable gate arrays, computational complexity, complexity, NP-complete, logic design, polynomial time algorithm, programmable logic arrays, table lookup, minimisation of switching nets
30Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez Diagnostic of path and gate delay faults in non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults
30Hung-Ying Tyan, Jennifer C. Hou, Bin Wang 0002 Many to Many Multicast Routing With Temporal Quality of Service Guarantees. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF many-to-many multicast routing, delay and delay jitter constraints, source-based multicast tree, core-based multicast tree, QoS
30Norival R. Figueira, Joseph Pasquale VirtualQueue: A Technique for Packet Voice Stream Reconstruction. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF packet voice streams, voice play-back, play-back delay, delay jitter compensation
30Daniel A. Menascé, Yelena Yesha, Konstantinos Kalpakis On a Unified Framework for the Evaluation of Distributed Quorum Attainment Protocols. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF distributed quorum attainment protocols, unified analytical model, Majority Consensus algorithms, primary site approach, parallel version, tree-based mutual exclusion protocols, fault tolerance, performability, distributed systems, performance analysis, protocols, distributed algorithms, software performance evaluation, software fault tolerance, performability metric, network delay, unified framework, protocol performance, delay analysis, mutual exclusion algorithms
30Chenhui Hu, Xinbing Wang, Feng Wu 0001 MotionCast: on the capacity and delay tradeoffs. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mobility, multicast, delay, capacity, scaling law
30Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl Considering possible opens in non-tree topology wire delay calculation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree topologies, yield, static timing analysis, delay analysis
30Bruno D. Abrahao, Robert D. Kleinberg On the internet delay space dimensionality. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay space, internet structure, dimensionality, network embedding
30Gaurav Sharma 0002, Ravi Mazumdar, Ness B. Shroff Delay and capacity trade-offs in mobile ad hoc networks: a global perspective. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Ad-hoc networks, mobility, wireless, delay, throughput, capacity, trade-offs
30Jia Di, Parag K. Lala Cellular Array-based Delay-insensitive Asynchronous Circuits Design and Test for Nanocomputing Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reed-Muller expression, nanoscale circuit, layout, stuck-at fault, cellular arrays, delay-insensitive circuit
30Bamba Gueye, Steve Uhlig, Artur Ziviani, Serge Fdida Leveraging Buffering Delay Estimation for Geolocation of Internet Hosts. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF buffering delay estimation, geolocation, traceroute, multilateration
30Guoliang Xue, Wei Xiao A Polynomial Time Approximation Scheme for Minimum Cost Delay-Constrained Multicast Tree under a Steiner Topology. Search on Bibsonomy Algorithmica The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Minimum cost delay-constrained network under a Steiner topology, Quality of service, Computer communications, Fully polynomial time approximation scheme
30Huawei Li 0001, Xiaowei Li 0001 Selection of Crosstalk-Induced Faults in Enhanced Delay Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG), crosstalk, delay test, critical paths
30Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Soft Delay Error Effects in CMOS Combinational Circuits. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Soft delay, single event upsets (SEUs), soft error rate (SER), soft errors
30Tom Jehaes, Danny De Vleeschauwer, Toon Coppens, Bart Van Doorselaer, Eva Deckers, W. Naudts, K. Spruyt, R. Smets Access network delay in networked games. Search on Bibsonomy NETGAMES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF quality of service (QoS), delay, access networks
30Murat R. Becer, Ibrahim N. Hajj An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay, analytical model, decoupling, Crosstalk noise
30Guohong Cao, Mukesh Singhal, Yi Deng 0001, Naphtali Rishe, Wei Sun 0002 A Delay-Optimal Quorum-Based Mutual Exclusion Scheme with Fault-Tolerance Capability. Search on Bibsonomy ICDCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault-tolerance, Quorum, distributed mutual exclusion, synchronization delay
29Hiran Tennakoon, Carl Sechen Nonconvex Gate Delay Modeling and Delay Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Moonseong Kim, Young-Cheol Bang, Hyunseung Choo On Multicasting Steiner Trees for Delay and Delay Variation Constraints. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Mouna Benaissa, Vincent Lecuire, Francis Lepage, André Schaff Efficient De-Jitter Control for Voice Applications over Wireless Ad Hoc Networks. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF voice packets, wireless ad hoc network, AODV, jitter control, speech quality, playout delay
29Ming-Chien Tsai, Ching-Hwa Cheng A full-synthesizable high-precision built-in delay time measurement circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang An All-Digital High-Precision Built-In Delay Time Measurement Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Jun Yoneyama New Robust Stability Conditions and Design of Robust Stabilizing Controllers for Takagi-Sugeno Fuzzy Time-Delay Systems. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Masashi Imai, Takashi Nanya A Novel Design Method for Asynchronous Bundled-data Transfer Circuits Considering Characteristics of Delay Variations. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Masanori Hashimoto, Hidetoshi Onodeva Increase in delay uncertainty by performance optimization. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Xiaodong Yang, Walter H. Ku, Chung-Kuan Cheng RLC interconnect delay estimation via moments of amplitude and phase response. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Rohini Gupta, Bogdan Tutuianu, Lawrence T. Pileggi The Elmore delay as a bound for RC trees with generalized input signals. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Foong-Charn Chang, Chin-Fu Chen, Prasad Subramaniam An Accurate and Efficient Gate Level Delay Calculator for MOS Circuits. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
29Jason Helge Anderson, Farid N. Najm Switching activity analysis and pre-layout activity prediction for FPGAs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, power, estimation
29Cheng-Shang Chang, Duan-Shin Lee, Ching-Ming Lien Load balanced Birkhoff-von Neumann switches with resequencing. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Yuan-Chieh Hsu, Sandeep K. Gupta 0001 A Simulator for At-Speed Robust Testing of Path Delay Faults in Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF robust path delay testing, at-speed delay testing, fault simulation, Delay testing
28Qiufeng Cai, Jianjiang Yu Further Stability Analysis for Neural Networks with Time-Varying Interval Delay. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Lyapunov-Krasovskii functional, Delay-dependent, Time-varying interval delay, Neural networks (NNs)
28Heejin Lim, Yoonsuck Choe Facilitating neural dynamics for delay compensation and prediction in evolutionary neural networks. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay compensation, facilitating synapses, neural delay, pole balancing, extrapolation, evolutionary neural networks
28Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay ATPG, delay fault diagnosis, statistical timing models
28Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi False-Path Removal Using Delay Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal
28Irith Pomeranz, Sudhakar M. Reddy Vector-Based Functional Fault Models for Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF functional tests, delay faults, path delay faults
28Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF digital circuit testing, test generation, fault models, delay test, path delay faults
28Jacob Savir Delay Test Generation: A Hardware Perspective. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF transition test, skewed-load delay test, shift dependency, cellular automata, linear feedback shift register, delay test, pseudo-random test
Displaying result #101 - #200 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license