The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41E. M. Boehm, Thomas B. Steel Jr. The Share 709 System: Machine Implementation of Symbolic Programming. Search on Bibsonomy J. ACM The full citation details ... 1959 DBLP  DOI  BibTeX  RDF
40Eren Küren, Akin Cellatoglu Education Over Homogenous Network. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CBA, HCI, E-Learning
40Ghassan Shobaki, Kent D. Wilken, Mark Heffernan Optimal trace scheduling using enumeration. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF branch-and-bound enumeration, global instruction scheduling, optimal instruction scheduling, compiler optimizations, instruction-level parallelism, Instruction scheduling, trace scheduling
39Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Instruction-level test methodology for CPU core self-testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CPU core testing, Instruction level testing, test instruction set, BIST, pipelined processor, software-based self testing
39Marco Galluzzi, Ramón Beivide, Valentin Puente, José-Ángel Gregorio, Adrián Cristal, Mateo Valero Evaluating kilo-instruction multiprocessors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, kilo-instruction processors
39Achim Nohl, Volker Greive, Gunnar Braun, Andreas Hoffmann 0002, Rainer Leupers, Oliver Schliebusch, Heinrich Meyr Instruction encoding synthesis for architecture exploration using hierarchical processor models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction set architectures, instruction encoding
39Kanad Ghose Reducing energy requirements for instruction issue and dispatch in superscalar microprocessors (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction dispatching, instruction issue, window buffer, superscalar processor, power minimization
39Madhavi Gopal Valluri, R. Govindarajan Evaluating Register Allocation and Instruction Scheduling Techniques in Out-Of-Order Issue Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Out-of-order Issue Processors, Instruction-Level Parallelism, Register Allocation, Instruction Scheduling, Integrated Methods
39Toshinori Sato Data Dependence Speculation Using Data Address Prediction and its Enhancement with Instruction Reissue. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic speculation of data dependence, instruction reissue, instruction level parallelism, out-of-order execution, address prediction
39Nael B. Abu-Ghazaleh, Philip A. Wilsey, Xianzhi Fan, Debra A. Hensgen Synthesizing Variable Instruction Issue Interpreters for Implementing Functional Parallelism on SIMD Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MIMD on SIMD, variable instruction issue, scheduling instruction execution, interpretation, SIMD computers
39Tai M. Chung, Henry G. Dietz Static scheduling of hard real-time code with instruction-level timing accuracy. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF timing fault, instruction-level timing accuracy, high-level language code, instruction-level, compiler code scheduling, genetic search algorithm, real-time systems, timing analysis, processor scheduling, search space
39Frank Mueller 0001, David B. Whalley Fast instruction cache analysis via static cache simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF instruction cache analysis, static cache simulation, cache configuration, instruction reference, cache hit, counter incrementation, code execution frequency, local state information updating, frequency counters, program exit, virtual machines, cache storage, program diagnostics, dynamic simulation, cache miss
39Kjell Näckros Learning Security through Computer Games: Studying user behavior in a real-world situation. Search on Bibsonomy World Conference on Information Security Education The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ICT Security, Game-Based Instruction, GBI, GBL, Vital Security Functions, VSF, Linear instruction, Nonlinear instruction, Education, Computer Games, Knowledge, Game-Based Learning, Learning preferences
39Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
38Clifford Liem, Pierre G. Paulin, Ahmed Amine Jerraya ReCode: the design and re-design of the instruction codes for embedded instruction-set processors. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Yen-Jen Chang Exploiting frequent opcode locality for power efficient instruction cache. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequent opcode locality, instruction cache, power-efficient
38Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran, Aleksandar Ignjatovic Application specific forwarding network and instruction encoding for multi-pipe ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-pipe ASIP, VLIW, forwarding, instruction encoding
38Wan-Chih Sun, Tsung-Ren Yang, Chih-Chin Liang, Ping-Yu Hsu 0001, Yuh-Wei Kung The Effects of Computer Assisted Instruction to Train People with Reading Disabilities Recognizing Chinese Characters. Search on Bibsonomy ICCPOL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reading Disabilities, Recognition of Chinese Characters, Stem-Deriving Instruction, Education, Interactive Learning Environment
38Martha Mercaldi, Steven Swanson, Andrew Petersen 0001, Andrew Putnam, Andrew Schwerin, Mark Oskin, Susan J. Eggers Instruction scheduling for a tiled dataflow architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction scheduling, dataflow, tiled architectures
38Mehrdad Reshadi, Prabhat Mishra 0001 Memory access optimizations in instruction-set simulators. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory address-space mapping, instruction-set simulator
38Junwei Zhou, Andrew J. Mason Increasing design space of the instruction queue with tag coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction queue, wakeup/select loop
38Bramha Allu, Wei Zhang 0002 Static next sub-bank prediction for drowsy instruction cache. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, instruction cache, leakage energy
38Ann Gordon-Ross, Susan Cotterell, Frank Vahid Tiny instruction caches for low power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache
38Haiyong Xie 0001, Li Zhao 0002, Laxmi N. Bhuyan Architectural analysis and instruction-set optimization for design of network protocol processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction optimization, architecture simulation, network processing, TCP/IP protocol
38Alvin R. Lebeck, Tong Li 0003, Eric Rotenberg, Jinson Koppanalil, Jaidev P. Patwardhan A Large, Fast Instruction Window for Tolerating Cache Misses. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache Memory, Memory Latency, Latency Tolerance, Instruction Window
38Christopher A. Healy, Robert D. Arnold, Frank Mueller 0001, David B. Whalley, Marion G. Harmon Bounding Pipeline and Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF best case execution time, Real-time systems, pipelining, timing analysis, worst case execution time, instruction cache
38Jared Stark, Paul Racunas, Yale N. Patt Reducing the Performance Impact of Instruction Cache Misses by Writing Instructions into the Reservation Stations Out-of-Order. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF instruction supply, superscalar processors, out-of-order execution
37Hai Lin 0004, Yunsi Fei Harnessing Horizontal Parallelism and Vertical Instruction Packing of Programs to Improve System Overall Efficiency. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Newton Cheung, Sri Parameswaran, Jörg Henkel Battery-aware instruction generation for embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen Hardware Support for Prescient Instruction Prefetch. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Jingren Zhou, Kenneth A. Ross Buffering Database Operations for Enhanced Instruction Cache Performance. Search on Bibsonomy SIGMOD Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37André Seznec, Antony Fraboulet Effective ahead Pipelining of Instruction Block Address Generation. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak Branch History Guided Instruction Prefetching. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Timothy Sherwood, Brad Calder Patchable instruction ROM architecture. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Juan E. Gilbert, Chia Y. Han 0001 Researching Adaptive Instruction. Search on Bibsonomy AH The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Toshinori Sato, Itsujiro Arita Comprehensive Evaluation of an Instruction Reissue Mechanism. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Jim Pierce, Trevor N. Mudge Wrong-path Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Ing-Jer Huang, Alvin M. Despain Synthesis of application specific instruction sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
37Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest, Joel S. Emer Instruction Fetching: Coping with Code Bloat. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
37Matthew K. Farrens, Andrew R. Pleszkun Improving Performance of Small On-Chip Instruction Caches. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
37Gurindar S. Sohi, Sriram Vajapeyam Tradeoffs in Instruction Format Design for Horizontal Architectures. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
37Xianglong Huang, Stephen M. Blackburn, David Grove, Kathryn S. McKinley Fast and efficient partial code reordering: taking advantage of dynamic recompilatior. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF locality, dynamic, instruction, JIT compilation
37Weiyu Tang, Rajesh K. Gupta 0001, Alexandru Nicolau Power Savings in Embedded Processors through Decode Filer Cache. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Michael C. Huang 0001, Jose Renau, Josep Torrellas Energy-efficient hybrid wakeup logic. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wakeup logic, low power, issue logic
37Yi-Shin Tung, Chia-Chiang Ho, Ja-Ling Wu MMX-Based DCT and MC Algorithms for Real-Time Pure Software MPEG Decoding. Search on Bibsonomy ICMCS, Vol. 1 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Pattern-Based IDCT, MPEG, Video Compression, MMX
36Conrad Mueller Can Multicore Processing Learn from Arithmetic Concepts?. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36David C. Wyld Blogging from the Top: A Survey of Higher Education Leaders' Use of Web 2.0 Technologies. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Ioana Tuugalei Chan Mow Issues and Difficulties in Teaching Novice Computer Programming. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose, Oguz Ergin Instruction packing: Toward fast and energy-efficient instruction scheduling. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction packing, low power, Issue queue
35Yi Zhang, Steve Haga, Rajeev Barua Execution History Guided Instruction Prefetching. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetching, instruction cache, memory latency, instruction prefetching
35Adrián Cristal, Oliverio J. Santana, Mateo Valero, José F. Martínez Toward kilo-instruction processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicheckpointing, instruction-level parallelism, Memory wall, kilo-instruction processors
35Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge FITS: framework-based instruction-set tuning synthesis for embedded application specific processors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 16-bit ISA, instruction synthesis, low-power, energy efficient, embedded processor, reconfigurable processors, ASP, instruction encoding, configurable architecture, code density
35Partha Biswas, Nikil D. Dutt Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dependence conflict graph, heterogeneous-connectivity-based DSP, restricted data dependence graph, instruction set extensions, instruction set architecture, static single assignment
35Nicola Zingirian, Massimo Maresca Loop Regularization for Image and Video Processing on Instruction Level Parallel Architectures. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF loop regularization, instruction level parallel architectures, instruction reordering, image processing, embedded systems, embedded systems, video processing, digital signal processors, register renaming
35Hidehiko Tanaka Toward more advanced usage of instruction level parallelism by a very large data path processor architecture. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF very large data path processor, instruction analysis, parallel gain, parallel architectures, microprocessor, instruction level parallelism, processor architecture, performance gain
35Chandra Chekuri, Richard Johnson, Rajeev Motwani 0001, B. Natarajan, B. Ramakrishna Rau, Michael S. Schlansker Profile-driven Instruction Level Parallel Scheduling with Application to Super Blocks. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF linear code regions, long-instruction-word machines, optimum scheduling, profile-driven instruction level parallel scheduling, profile-sensitive scheduler, ranking branch instructions, compiler optimization, scheduling heuristic, abstract model, optimising compilers, code scheduling
35Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
35Roger A. Bringmann, Scott A. Mahlke, Wen-mei W. Hwu A study of the effects of compiler-controlled speculation on instruction and data caches. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compiler-controlled speculation, nonnumeric programs, speculatively scheduled code, aggressive speculation models, scheduling, performance evaluation, parallel programming, time, instruction level parallelism, program compilers, data caches, cache storage, instruction cache, cache misses, performance results
35Raymond Lo, Sun Chan, Fred C. Chow, Shin-Ming Liu Improving resource utilization of the MIPS R8000 via post-scheduling global instruction distribution. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MIPS R8000, global instruction distribution, multiple-issue processors, processor resource utilization, MIPS R8000, instruction scheduling, code optimization
35Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Scheduling of conditional branches using SSA form for superscalar/VLIW processors. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF conditional branches scheduling, very long instruction word processors, compensation code, optimization, computational complexity, complexity, parallel architectures, processor scheduling, superscalar processors, instruction sets, instruction set, VLIW processors, code motion, global scheduling, conditional branches, SSA
35Eliseu M. Chaves Filho, Edil S. T. Fernandes, Andrew Wolfe Load Balancing in Superscalar Architectures. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple functional units, parallel instruction execution, processor throughput, dynamic instruction-issuing algorithm, performance, load balancing, parallel architectures, instruction-level parallelism, superscalar processors, application program, computational load, superscalar architectures, hardware resources
35Guido Araujo, Sharad Malik Optimal code generation for embedded memory non-homogeneous register architectures. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF TMS320C25 processor, [1, /spl infin/] model, embedded memory nonhomogeneous register architectures, expression trees, optimal code generation, register transfer graph, scheduling, computational complexity, graph theory, optimisation, computer architecture, register allocation, processor scheduling, storage allocation, microprocessor chips, instruction sets, instruction set architecture, sufficient conditions, instruction selection, structural representation
35Chuan-Yu Wang, Kaushik Roy 0001 Control unit synthesis targeting low-power processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF control unit synthesis, low-power processors, low-power decoding scheme, microprogrammed architecture, nanoprogrammed architecture, 8086 instruction set, instruction opcodes, computer architecture, logic design, encoding, decoding, microprocessor chips, graph embedding, instruction sets, microprogramming, CMOS circuits, logic minimization, system reliability, switching activity, minimisation of switching nets, pseudo-Boolean programming
34Hai Lin 0004, Yunsi Fei Exploring custom instruction synthesis for application-specific instruction set processors with multiple design objectives. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asips, multi-objective design
34Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Eric Hao, Po-Yung Chang, Marius Evers, Yale N. Patt Increasing the Instruction Fetch Rate via Block-structured Instruction Set Architectures. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Hsiu-Ling Chen Internet Self-efficacy and Behavior in Integrating the Internet into Instruction: A Study of Vocational High School Teachers in Taiwan. Search on Bibsonomy EC-TEL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Internet self-efficacy, integrating the Internet into instruction, vocational high school teachers
34Rola Kassem, Mikaël Briday, Jean-Luc Béchennec, Yvon Trinquet, Guillaume Savaton Instruction set simulator generation using HARMLESS, a new hardware architecture description language. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware architecture description language, instruction set simulation
34Chris Riley, Graham Johnson, Heather McCracken, Ahmed Al-Saffar Instruction, Feedback and Biometrics: The User Interface for Fingerprint Authentication Systems. Search on Bibsonomy INTERACT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Biometrics, Feedback, Fingerprint, Instruction
34Sonja Stork, Christian Stößel, Anna Schubö The Influence of Instruction Mode on Reaching Movements during Manual Assembly. Search on Bibsonomy USAB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Instruction presentation, motor behavior, augmented reality, human performance, information processing
34Christoph Puttmann, Jamshid Shokrollahi, Mario Porrmann Resource Efficiency of Instruction Set Extensions for Elliptic Curve Cryptography. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary field multiplication, elliptic curve cryptography, instruction set extension, resource efficiency
34Richard Vincent Bennett, Alastair Colin Murray, Björn Franke, Nigel P. Topham Combining source-to-source transformations and processor instruction set extensions for the automated design-space exploration of embedded systems. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, customizable processors
34Martha Mercaldi, Steven Swanson, Andrew Petersen 0001, Andrew Putnam, Andrew Schwerin, Mark Oskin, Susan J. Eggers Modeling instruction placement on a spatial architecture. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction placement, dataflow, spatial computing
34Aneesh Aggarwal, Manoj Franklin Instruction Replication for Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction replication, interconnection latency, load balancing, task assignment, Clustered processors
34Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose, Oguz Ergin Instruction packing: reducing power and delay of the dynamic scheduling logic. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction packing, low power, issue queue
34Phillip A. Laplante, William Gilreath One Instruction Set Computers for Image Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF OISC, one-instruction computing, FPGA, field programmable gate array, image processing, reconfigurable computing
34Uwe Kastens, Dinh Khoi Le, Adrian Slowik, Michael Thies Feedback driven instruction-set extension. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulator generation, encryption, network processor, codesign, instruction-set extensions, compiler generation
34Michael Scherger, Johnnie W. Baker, Jerry L. Potter Multiple Instruction Stream Control for an Associative Model of Parallel Computation. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Multiple instruction streams, associative computing, parallel processing, system software
34Steven E. Raasch, Nathan L. Binkert, Steven K. Reinhardt A Scalable Instruction Queue Design Using Dependence Chains. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Instruction Queue, Dependence Chains, Variable-latency, Scheduling, Scalable, Segment
34Chi-Keung Luk, Todd C. Mowry Architectural and compiler support for effective instruction prefetching: a cooperative approach. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimization, instruction prefetching
34Francisco Barat, Rudy Lauwereins Reconfigurable Instruction Set Processors: A Survey. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamically reconfigurable instruction set processor, reconfigurable functional unit, application specific instructions, reconfigurable computing
34Austin Kim, J. Morris Chang Advanced POC Model-Based Java Instruction Folding Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Instruction Folding, Java Virtual Machine (JVM), Stack, Java processor, Java bytecode, Java programming language
34Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang Instruction selection using binate covering for code size optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF code generation, digital signal processors, instruction selection
34Weifeng Xu, Russell Tessier Tetris-XL: A performance-driven spill reduction technique for embedded VLIW processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Very Long Instruction Word (VLIW) processor, instruction level parallelism, Register pressure
34Andrei Sergeevich Terechko, Henk Corporaal Inter-cluster communication in VLIW architectures. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intercluster communication, pipelining, Instruction-level parallelism, register allocation, VLIW, instruction scheduler, optimizing compiler, clock frequency, cluster assignment
34Weifeng Xu, Russell Tessier Tetris: a new register pressure control technique for VLIW processors. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register pressure control, very long instruction word (VLIW) processor, instruction level parallelism
34Allen Leung, Krishna V. Palem, Amir Pnueli A Fast Algorithm for Scheduling Time-Constrained Instructions on Processors with ILP. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-optimizations, instruction level parallelism, instruction scheduling, embedded applications
34Heidrun Engel Data flow transformations to detect results which are corrupted by hardware faults. Search on Bibsonomy HASE The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data flow transformations, corrupt result detection, hardware fault coverage, modified instruction, diverse data representation, modified instruction sequences, assembler level, high language level, fault tolerant computing, software faults, design diversity, hardware fault detection
33Lars Bauer, Muhammad Shafique 0001, Jörg Henkel Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Shingo Watanabe, Akihiro Chiyonobu, Toshinori Sato Indirect Tag Search Mechanism for Instruction Window Energy Reduction. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Edwin Naroska, Shanq-Jang Ruan, Uwe Schwiegelshohn Simultaneously optimizing crosstalk and power for instruction bus coupling capacitance using wire pairing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Subash Chandar G., Mahesh Mehendale, R. Govindarajan Area and Power Reduction of Embedded DSP Systems using Instruction Compression and Re-configurable Encoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded DSP systems, re-configurable architecture, code compression, energy reduction
33Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Shu Xiao 0001, Edmund Ming-Kit Lai Instruction scheduling of VLIW architectures for balanced power consumption. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Custom-instruction synthesis for extensible-processor platforms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Wu-An Kuo, TingTing Hwang, Allen C.-H. Wu Decomposition of Instruction Decoder for Low Power Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin Exploring Wakeup-Free Instruction Scheduling. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Matthias Grünewald, Dinh Khoi Le, Uwe Kastens, Jörg-Christian Niemann, Mario Porrmann, Ulrich Rückert 0001, Adrian Slowik, Michael Thies Network Application Driven Instruction Set Extensions for Embedded Processing Clusters. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Miroslav N. Velev Efficient formal verification of pipelined processors with instruction queues. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF logic of equality, positive equality, decomposition, SAT
33Dong-yuan Chen, Lixia Liu, Chen Fu, Shuxin Yang, Chengyong Wu, Roy Dz-Ching Ju Efficient Resource Management during Instruction Scheduling for the EPIC Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 11442 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license