The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Said Hamdioui, Ad J. van de Goor, Mike Rodgers Detecting Intra-Word Faults in Word-Oriented Memories. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Bit-oriented/word-oriented memories, fault models, memory tests, data backgrounds
29Michael Neve, Eric Peeters, David Samyde, Jean-Jacques Quisquater Memories: A Survey of Their Secure Uses in Smart Cards. Search on Bibsonomy IEEE Security in Storage Workshop The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Secure memories, Smart cards, Tamper resistance, Side channels, Secure hardware
29Piero Olivo, Marcello Dalpasso A Bist Scheme for Non-Volatile Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF off-line testing, built-in self-test, signature analysis, non-volatile memories
29Gang-Min Park, Hoon Chang An extended march test algorithm for embedded memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient test algorithm, BIST architecture, neighborhood pattern sensitive fault, background data, word-oriented memory testing, extended march test algorithm, stuck-at fault, transition fault, embedded memories, integrated memory circuits, coupling fault
29Anelise P. Braga, Marcelo Barros de Almeida, G. Pereita, Marcelo Azevedo Costa, C. Barbose On the information storage of associative matrix memories. Search on Bibsonomy SBRN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF associative matrix memories, Willshaw matrix model, linear matrix memory, autoassociative networks, heteroassociative networks, neural networks, neural nets, generalisation, network connectivity, information storage, storage capacity
28Charles Eric LaForest, J. Gregory Steffan Efficient multi-ported memories for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, parallel, memory, multi-port
28Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Yi He 0001, Meikang Qiu, Edwin Hsing-Mean Sha Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data recomputation, CMP, flash memory, data migration, phase change memory, SPM, non-volatile memory
28Israel Román-Godínez, Itzamá López-Yáñez, Cornelio Yáñez-Márquez Classifying Patterns in Bioinformatics Databases by Using Alpha-Beta Associative Memories. Search on Bibsonomy Biomedical Data and Applications The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Concepción Sanz, Manuel Prieto 0001, José Ignacio Gómez, Antonis Papanikolaou, Francky Catthoor System-level process variability compensation on memory organizations: on the scalability of multi-mode memories. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Zhigang Zeng, Jun Wang 0002 Design and Analysis of High-Capacity Associative Memories Based on a Class of Discrete-Time Recurrent Neural Networks. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Da-Ming Chang, Jin-Fu Li 0001, Yu-Jen Huang A Built-In Redundancy-Analysis Scheme for Random Access Memories with Two-Level Redundancy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Built-in self-repair (BISR), Built-in redundancy-analysis (BIRA), Two-level redundancy, Random access memory, System-on-chip (SOC)
28Tatsuyuki Kawamura, Tomohiro Fukuhara, Hideaki Takeda 0001, Yasuyuki Kono, Masatsugu Kidode Ubiquitous Memories: a memory externalization system using physical objects. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Benoît Godard, Jean Michel Daga, Lionel Torres, Gilles Sassatelli Evaluation of design for reliability techniques in embedded flash memories. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Cornelio Yáñez-Márquez, María Elena Cruz-Meza, Flavio Arturo Sánchez-Garfias, Itzamá López-Yáñez Using Alpha-Beta Associative Memories to Learn and Recall RGB Images. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Zöhre Kara Kayikci, Heiner Markert, Günther Palm Neural Associative Memories and Hidden Markov Models for Speech Recognition. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yasuaki Kuroe, Yuriko Taniguchi Models of Orthogonal Type Complex-Valued Dynamic Associative Memories and Their Performance Comparison. Search on Bibsonomy ICANN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yasuaki Kuroe, Yuriko Taniguchi Models of Self-Correlation Type Complex-Valued Associative Memories and Their Performance Comparison. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Yasuaki Kuroe, Yuriko Taniguchi Models of Self-correlation Type Complex-Valued Associative Memories and Their Dynamics. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Mahmut T. Kandemir, J. Ramanujam, Mary Jane Irwin, Narayanan Vijaykrishnan, Ismail Kadayif, Amisha Parikh A compiler-based approach for dynamically managing scratch-pad memories in embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Lars Wehmeyer, Urs Helmig, Peter Marwedel Compiler-optimized usage of partitioned memories. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Masumi Shimizu, Mie Nakatani, Hirokazu Kato 0001, Shogo Nishida Communication environment for sharing fond memories. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2004 DBLP  DOI  BibTeX  RDF joint-remenbering, popular music, reminiscence, park
28Manjunath Kudlur, Kevin Fan, Michael L. Chu, Scott A. Mahlke Automatic Synthesis of Customized Local Memories for Multicluster Application Accelerators. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Xiaogang Du, Sudhakar M. Reddy, Wu-Tung Cheng, Joseph Rayhawk, Nilanjan Mukherjee 0001 At-Speed Built-in Self-Repair Analyzer for Embedded Word-Oriented Memories. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Rei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li 0001 A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Max H. Garzon, Andrew Neel, Hui Chen Efficiency and Reliability of DNA-Based Memories. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Rei-Fu Huang, Jin-Fu Li 0001, Jen-Chieh Yeh, Cheng-Wen Wu A Simulator for Evaluating Redundancy Analysis Algorithms of Repairable Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, memory testing, embedded memory, redundancy analysis, memory repair
28Rei-Fu Huang, Jin-Fu Li 0001, Jen-Chieh Yeh, Cheng-Wen Wu A Simulator for E aluating Redundancy Analysis Algorithms of Repairable Embedded Memories. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, memory testing, embedded memory, redundancy analysis, memory repair
28Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Jean Michel Daga, Caroline Papaix, Marc Merandat, Stephane Ricard, Giuseppe Medulla, Jeanine Guichaoua, Daniel Auvergne Design Techniques for Embedded EEPROM Memories in Portable ASIC and ASSP Solutions. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Peter Sussner Fixed Points of Autoassociative Morphological Memories. Search on Bibsonomy IJCNN (5) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Vivek Chickermane, Scott Richter, Carl Barnhart Integrating Logic BIST in VLSI Designs with Embedded Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Ashwini K. Nanda, Kwok-Ken Mak, Krishnan Sugavanam, Ramendra K. Sahoo, Vijayaraghavan Soundararajan, T. Basil Smith MemorIES: A Programmable, Real-Time Hardware Emulation Tool for Multiprocessor Server Design. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Said Hamdioui, Ad J. van de Goor March Tests for Word-Oriented Two-Port Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Ismail Salih, Stanley H. Smith, Derong Liu 0001 Design of bidirectional associative memories based on the perceptron training technique. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28E. Kofi Vida-Torku, George Joos Designing for scan test of high performance embedded memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Jos van Sas, Francky Catthoor, Hugo De Man Test Algorithms for Double-Buffered Random Access and Pointer-Addressed Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Patrick Mahoney, Yvon Savaria, Guy Bois, Patrice Plante Performance Characterization for the Implementation of Content Addressable Memories Based on Parallel Hashing Memories. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Joohee Kim, Marios C. Papaefthymiou Constant-load energy recovery memory for efficient high-speed operation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adiabatic circuitry, charge recovery, cache memories, on-chip memories, low-power computing, low-energy design
26Joohee Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Energy recovering static memory. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adiabatic circuitry, charge recovery, cache memories, on-chip memories, low-power computing, low-energy design
26P. Bruce Berra, Arif Ghafoor, Pericles A. Mitkas, Slawomir J. Marcinkowski, Mohsen Guizani The Impact of Optics on Data and Knowledge Base Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF optical database machines, optical knowledge base machines, optical disks, page-oriented holographic memories, optical content addressable memories, full text processing, optical processing, digital optical device development, knowledge base systems, knowledge based systems, database management systems, interconnection, processing, storage, database systems, optical interconnection, data communication, optics, optical information processing, optoelectronic, optical storage
26Charles L. Seitz Concurrent VLSI Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Computational arrays, logic-enhanced memories, microcomputer arrays, smart memories, parallel processing, VLSI, multiprocessors, systolic arrays, concurrent computation
26Jeffrey J. Rothschild Cache organizations. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Buffer memories, computer architecture, cache memories, paging, memory organization
26DeWitt Landis Multiple-Response Resolution in Associative Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Associative memories (AM's), associative processors (AP's), Fibonacci series, multiple-response resolution, priority determination, response resolution, content-addressed memories
25Heiner Markert, Zöhre Kara Kayikci, Günther Palm Sentence Understanding and Learning of New Words with Large-Scale Neural Networks. Search on Bibsonomy ANNPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hidden Markov Models, Speech Recognition, Associative Memories, Hebbian Learning, Language Understanding
25Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard David, Zhao Zhang 0010 Thermal modeling and management of DRAM memory systems. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal management, thermal modeling, DRAM memories
25Molly M. Stevens, Gregory D. Abowd, Khai N. Truong, Florian Vollmer Getting into the Living Memory Box: Family archives & holistic design. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Family memories, Multimedia organisation, Ubiquitous computing, Industrial design, Focus groups, Domestic technology, Ethnographic studies, Home appliances
25Said Hamdioui, Ad J. van de Goor Efficient Tests for Realistic Faults in Dual-Port SRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multiport/single-port memories, weak faults, fault models, fault coverage, march tests
25Nigel J. Duffy, Arun K. Jagota Connectionist Password Quality Tester. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF spurious memories, edit distance, Hopfield networks, string searching
25Emílio Del Moral Hernandez Studying Neural Networks of Bifurcating Recursive Processing Elements - Quantitative Methods for Architecture Design and Performance Analysis. Search on Bibsonomy IWANN (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design of neural architectures, parametric recursive processing elements, bifurcation and chaos, associative memories, chaotic neural networks, non-linear dynamics
25Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
25Larry Fenstermaker, Ilyoung Kim, Jim L. Lewandowski, Jeffrey J. Nagy Built In Self Test for Ring Addressed FIFOs with Transparent Latches. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Built In Self Test, Memory testing, Embedded memories
25Aditya Agrawal, Anand Raju, Sachidanand Varadarajan, Magdy A. Bayoumi A scalable shared buffer ATM switch architecture. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electronic switching systems, field effect transistor switches, scalable shared buffer ATM switch architecture, memory bandwidth requirement, maximum crosspoint switch size, buffer memory size, access time reduction, multiple buffer memories, 8/spl times/8 switch, 1 mum, 622 Mbit/s, asynchronous transfer mode, asynchronous transfer mode, shared memory systems, buffer storage, CMOS technology, CMOS digital integrated circuits, B-ISDN, B-ISDN, switching circuits, parallel access
24Shruti Vyas, Aswin Sreedhar, Sandip Kundu TURBONFS: turbo nand flash search. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF signature, aliasing, NAND flash, MISR
24Pedro Reviriego, Juan Antonio Maestro Efficient error detection codes for multiple-bit upset correction in SRAMs with BICS. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-level protection technique, protection against radiation, error correcting codes, Fault tolerant memory
24Eero Aho, Jarno Vanne, Timo D. Hämäläinen Configurable Data Memory for Multimedia Processing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stride access, configurable, parallel memory, skewing scheme, SIMD processing
24Ross McIlroy, Peter Dickman, Joe Sventek Efficient dynamic heap allocation of scratch-pad memory. Search on Bibsonomy ISMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-core memory, concurrency, memory management
24Torvald Riegel, Christof Fetzer, Pascal Felber Time-based transactional memory with scalable time bases. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transactional memory
24Alfred Kölbl, Jerry R. Burch, Carl Pixley Memory Modeling in ESL-RTL Equivalence Checking. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Fan R. K. Chung, Ronald L. Graham, Jia Mao, George Varghese Parallelism versus Memory Allocation in Pipelined Router Forwarding Engines. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Panagiotis Manolios, Sudarshan K. Srinivasan, Daron Vroon 0001 Automatic memory reductions for RTL model verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jana Stanclová The Associative Recall of Spatial Correlated Patterns. Search on Bibsonomy CIARP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Akhil Garg 0001, Prashant Dubey Fuse Area Reduction based on Quantitative Yield Analysis and Effective Chip Cost. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compression and Yield, Memory, Repair, Fuse
24Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto Automatic March Tests Generation for Multi-Port SRAMs. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Erik Jan Marinissen, Betty Prince, Doris Keitel-Schulz, Yervant Zorian Challenges in Embedded Memory Design and Test. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rajiv A. Ravindran, Pracheeti D. Nagarkar, Ganesh S. Dasika, Eric D. Marsman, Robert M. Senger, Scott A. Mahlke, Richard B. Brown Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24R. Chandramouli Managing Test and Repair of Embedded Memory Subsystem in SoC. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Antonis Hondroulis, Costas Harizakis, Peter Triantafillou Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers
24Dalia Dagher, Iyad Ouaiss Storage Allocation for Diverse FPGA Memory Specifications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Fan R. K. Chung, Ronald L. Graham, George Varghese Parallelism versus memory allocation in pipelined router forwarding engines. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF approximation algorithm, memory allocation
24Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
24Guilin Chen, Guangyu Chen, Ismail Kadayif, Wei Zhang 0002, Mahmut T. Kandemir, Ibrahim Kolcu, Ugur Sezer Compiler-Directed Management of Instruction Accesses. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Adnan Aziz, Amit Prakash, Vijaya Ramachandran A near optimal scheduler for switch-memory-switch routers. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF schedulers, parallelism, matching, randomization, routers
24Jen-Chieh Yeh, Chi-Feng Wu, Kuo-Liang Cheng, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu Flash Memory Built-In Self-Test Using March-Like Algorithm. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Mohammad Gh. Mohammad, Kewal K. Saluja Flash Memory Disturbances: Modeling and Test. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Alain Gefflaut, Christine Morin, Michel Banâtre Tolerating node failures in cache only memory architectures. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Om P. Agrawal, Arthur V. Pohm Cache memory systems for multiprocessor architecture. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
23Benoît Godard, Jean Michel Daga, Lionel Torres, Gilles Sassatelli Hierarchical Code Correction and Reliability Management in Embedded nor Flash Memories. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NOR flash memories, reliabilty management, markov modeling
23Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Applying March Tests to K-Way Set-Associative Cache Memories. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache memories, memory test, march test
23Roberto Antonio Vázquez, Juan Humberto Sossa Azuela Hetero-Associative Memories for Voice Signal and Image Processing. Search on Bibsonomy CIARP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voice signal processing, image processing, Associative memories
23Albert Fornells, Eva Armengol, Elisabet Golobardes Retrieval Based on Self-explicative Memories. Search on Bibsonomy ECCBR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Case Retrieval, Case Memory Organization, Self-Explicative Memories, Soft Case-Based Reasoning, Self-Organizing Map
23Davide Appello, Alessandra Fudoli, Vincenzo Tancorre, Paolo Bernardi, Fulvio Corno, Maurizio Rebaudengo, Matteo Sonza Reorda A BIST-based Solution for the Diagnosis of Embedded Memories Adopting Image Processing Techniques. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE P1500, diagnosis, Hough transform, embedded memories
23Tang-Ho Lê, Luc Lamontagne, Tho-Hau Nguyen A Visual Tool for Structuring and Modeling Organizational Memories. Search on Bibsonomy CIKM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF domain knowledge structure, knowledge layers, knowledge unit, multidimensional knowledge network, task-oriented modeling, organizational memories, dynamic display
23Rita Cucchiara, Massimo Piccardi, Andrea Prati 0001 Hardware Prefetching Techniques for Cache Memories in Multimedia Applications. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hardware prefetching, cache memory organization, multimedia image processing programs, MPEG-2 decoding, edge chain coding, image processing, multimedia, kernels, multimedia applications, cache memories
23Mateo Valero, Tomás Lang, Montse Peiron, Eduard Ayguadé Conflict-Free Access for Streams in Multimodule Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decoupled access, multimodule memories, out-of-order access, streams with constant strides, vector processors, Conflict-free access, storage schemes
23Kewal K. Saluja On-chip testing of random access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF BIST RAM, reconfigured random access memories, test parallelism, Built-In Self-Test, pattern sensitive faults, test architectures, RAM testing
23Ram Raghavan, John P. Hayes Reducing Inerference Among Vector Accesses in Interleaved Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF interference reduction, vector accesses, concurrent data requests, vector superconductors, vector data placement, computer architecture, system performance, memory bandwidth, vector data, memory interference, interleaved memories, vector processor systems
23Gurindar S. Sohi High-Bandwidth Interleaved Memories for Vector Processors-A Simulation Study. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF high bandwidth interleaved memories, alternate interleaving schemes, vector processing systems, parallel processing, computer architecture, storage management, buffering, simulation study, access patterns, vector processors
23Tao Wang, Xinhua Zhuang, Xiaoliang Xing, Xipeng Xiao A Neuron-Weighted Learning Algorithm and Its Hardware Implementation in Associative Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF neuron-weighted associative memory, NWAM, gradient descent rule, analog neural network, computer simulation experiments, learning (artificial intelligence), neural nets, associative memories, learning algorithm, hardware implementation, content-addressable storage, neural chips, global minimization
23Dominique Thiébaut, Joel L. Wolf, Harold S. Stone Synthetic Traces for Trace-Driven Simulation of Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF synthetic address traces, infinite address-space, synthetic traces, random walk, digital simulation, cache memories, memory architecture, trace-driven simulation, buffer storage, content-addressable storage
23Masaru Takesue Cache Memories for Data Flow Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF data flow machines, dataflow caches, cache block replacement, cache memories, memory architecture, buffer storage, register transfer level simulator
23Ingrid Y. Bucher, Donald A. Calahan Models of Access Delays in Multiprocessor Memories. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiprocessor memories, interleaved common memory, multipleprocessors, access conflicts, pipelined accessoperations, performance evaluation, queueing theory, multiprocessing systems, memory architecture, random access, queuing model, access delays
23Pinaki Mazumder, Janak H. Patel An efficient design of embedded memories and their testability analysis using Markov chains. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Embedded random-access memories, random testing and testable design
23Vladimir Cherkassky, Karen Fassett, Nikolaos Vassilas Linear Algebra Approach to Neural Associative Memories and Noise Performance of Neural Classifiers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF linear algebra approach, neural associative memories, noise performance, neural classifiers, generalized inverse memory construction rules, performance evaluation, neural nets, linear algebra, content-addressable storage, comparative analysis, saturation, analytic evaluation, correlation matrix memory
23Jacob Savir, William H. McAnney, Salvatore R. Vecchio Testing for Coupled Cells in Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF coupled-cell faults, address lines, read/write control, deterministic setting, fault tolerant computing, integrated circuit testing, automatic testing, memory testing, random-access storage, RAM, integrated memory circuits, random-access memories, address space
23Manuel Blum 0001, William S. Evans, Peter Gemmell, Sampath Kannan, Moni Naor Checking the Correctness of Memories Search on Bibsonomy FOCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF memories correctness checking, reliable memory, sequence of requests, data structure, lower bounds, probability, program checking
23Pinaki Mazumder, Janak H. Patel Parallel Testing for Pattern-Sensitive Faults in Semiconductor Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF semiconductor random-access memories, design-for-testability approach, MOS integrated circuits, reliability, integrated circuit testing, linear complexity, MOS, random-access storage, integrated memory circuits, pattern-sensitive faults, design strategy, parallel testing
23Kieran T. Herley Efficient Simulations of Small Shared Memories on Bounded Degree Networks (Preliminary Version) Search on Bibsonomy FOCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF small shared memories, bounded degree networks, PRAM algorithms, simulation, parallel random-access machine, PRAM
23Mario Blaum, Rodney M. Goodman, Robert J. McEliece The Reliability of Single-Error Protected Computer Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF single-error correcting double-error detecting codes, SEC-DED codes, single-error protected computer memories, memory chip failure, Poisson assumption, life testing, semiconductor storage, reliability, statistical analysis, error correction codes, error detection codes, lifetimes, circuit reliability, closed-form expression, mean time to failure
23Jois Malathi Char, Vladimir Cherkassky, Harry Wechsler, George Lee Zimmerman Distributed and Fault-Tolerant Computation for Retrieval Tasks Using Distributed Associative Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF retrieval tasks, input key data, image reconfiguration, database information retrieval, information retrieval, fault-tolerant computation, fault tolerant computing, distributed processing, database management systems, noise, content-addressable storage, distributed associative memories
Displaying result #101 - #200 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license