The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
26Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Estimation of BIST Resources During High-Level Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF built-in self-test, high-level synthesis, estimation
26José Luis Huertas Test and design-for-test of mixed-signal integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Michael A. Kochte, Christian G. Zoellin, Hans-Joachim Wunderlich Concurrent Self-Test with Partially Specified Patterns for Low Test Latency and Overhead. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Concurrent self test, test generation, BIST
26Gladys Omayra Ducoudray, Jaime Ramírez-Angulo Innovative Built-In Self-Test Schemes for On-Chip Diagnosis, Compliant with the IEEE 1149.4 Mixed-Signal Test Bus Standard. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF iDD analysis, built-in self-test, mixed-signal test
26Samir Boubezari, Bozena Kaminska A new reconfigurable Test Vector Generator for built-in self-test applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF rank order clustering, built-in self-test, cellular automata, test vector generator
26Gary L. Craig, Charles R. Kime, Kewal K. Saluja Test Scheduling and Control for VLSI Built-In Self-Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF test resource sharing, suboptimum algorithms, equal length test, unequal length test, scheduling, VLSI, VLSI, built-in self-test, integrated circuit testing, BIST, automatic testing, hierarchical model, test scheduling, algorithm performance
25Andrew Diniz da Costa, Camila Nunes, Viviane Torres da Silva, Baldoino Fonseca dos Santos Neto, Carlos José Pereira de Lucena JAAF+T: a framework to implement self-adaptive agents that apply self-test. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF self-adaptation, dynamic environment, self-testing, control loop
25Jian Shen, Jacob A. Abraham Native mode functional test generation for processors with applications to self test and design validation. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Slawomir Pilarski, Andrzej Krasniewski, Tiko Kameda Estimating testing effectiveness of the circular self-test path technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25Jing-Yang Jou An effective BIST design for PLA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST design, deterministic test pattern generator, cross point, AND array, fault detection capability, contact fault model, logic testing, built-in self test, integrated circuit testing, combinational circuits, automatic testing, programmable logic arrays, PLA, CMOS logic circuits, characteristic polynomial, stuck-at fault model, multiple input signature register
25Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
24Adrian E. Seigler, Gary A. Van Huben, Hari Mony Formal Verification of Partial Good Self-Test Fencing Structures. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fencing, formal verification, self test
24Shiyi Xu Build-In-Self-Test for Software. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Software Testing, Design for Testability, Build-In-Self-Test (BIST)
24Y. Tsiatouhas, Th. Haniotakis A Zero Aliasing Built-In Self Test Technique for Delay Fault Testing. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Built-In Self Test, Delay Fault Testing
24Shujian Zhang, Rod Byrne, Jon C. Muzio, D. Michael Miller Quantitative analysis for linear hybrid cellular automata and LFSR as built-in self-test generators for sequential faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear hybrid cellular automata, sequential fault, transition capability, built-in self-test, linear feedback shift register, linear finite state machine
24Xiaoding Chen, Michael S. Hsiao Testing Embedded Sequential Cores in Parallel Using Spectrum-Based BIST. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF built-in-self-test, System-on-a-chip, spectral analysis
24Debaleena Das, Mark G. Karpovsky Exhaustive and Near-Exhaustive Memory Testing Techniques and their BIST Implementations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF exhaustive codes, near-exhaustive codes, built-in self-test, memory testing, pattern sensitive faults
24Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction via input reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Chih-Wea Wang, Ruey-Shing Tzeng, Chi-Feng Wu, Chih-Tsun Huang, Cheng-Wen Wu, Shi-Yu Huang, Shyh-Horng Lin, Hsin-Po Wang 0002 A Built-in Self-Test and Self-Diagnosis Scheme for Heterogeneous SRAM Clusters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24R. Frost, D. Rudolph, Christian Galke, René Kothe, Heinrich Theodor Vierhaus A Configurable Modular Test Processor and Scan Controller Architecture. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Hani Rizk, Christos A. Papachristou, Francis G. Wolff Designing Self Test Programs for Embedded DSP Cores. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Dirk Niggemeyer, M. Rüffer Parametric Built-In Self-Test of VLSI Systems. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Jos van Sas, Francky Catthoor, Hugo De Man Cellular automata based deterministic self-test strategies for programmable data paths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Sankar Gurumurthy, Ramtilak Vemu, Jacob A. Abraham, Suriyaprakash Natarajan On efficient generation of instruction sequences to test for delay defects in a processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF native-mode self-test, delay test, software based self-test
23Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Instruction-Based Self-Testing of Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF processor cores, built-in self-test, instruction set, at-speed testing, software-based self test
23Nur A. Touba Obtaining High Fault Coverage with Circular BIST Via State Skipping. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Circular BIST, Circular Self-Test Path, Conflict Matrix, Column Covering, Built-In Self-Test (BIST), Linear Feedback Shift Register, Pseudo-Random Testing, Digital Testing
23Janusz Rajski, Jerzy Tyszer Recursive Pseudoexhaustive Test Pattern Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF recursive pseudoexhaustive test pattern generation, parallel pattern generator, exclusive-or array, serial generators, scan-based built-in self-test, logic testing, built-in self test, test vectors, characteristic functions
23John Y. Sayah, Charles R. Kime Test Scheduling in High Performance VLSI System Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF high performance VLSI system, parallel test execution, organization level, test parallelism, schedulability criteria, suboptimum heuristic-based algorithms, VLSI, built-in self-test, built-in self test, time, integrated circuit testing, design for testability, automatic testing, space, heuristic programming, test scheduling, inherent parallelism
23Rupsa Chakraborty, Dipanwita Roy Chowdhury coreBIST: A Cellular Automata Based Core for Self Testing System-on-Chips. Search on Bibsonomy ACRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Response-Analyzer, Built-in self-test, System-on-Chip, Cellular Automata, Test-Pattern-Generator
23Irith Pomeranz, Sandip Kundu, Sudhakar M. Reddy Masking of Unknown Output Values during Output Response Compression byUsing Comparison Units. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF output response compression, Built-in self-test, scan design
23Bjørg Reppen, Einar J. Aas Combined probabilistic testability calculation and compact test generation for PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF probabilistic testability, Fault coverage, test pattern generation, programmable logic arrays
23C. J. Clark Tutorial IND2B: Structured Embedded Configuration and Test. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, Michail Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 Systematic Software-Based Self-Test for Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23George Xenoulis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Instruction-Based Online Periodic Self-Testing of Microprocessors with Floating-Point Units. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Kay Suenaga, Rodrigo Picos, Sebastià A. Bota, Miquel Roca 0001, Eugeni Isern 0001, Eugenio García A Module for BiST of CMOS RF Receivers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF-IC, Test, Built-in-self-test, MOS, Mixers
22Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh BIST for Network-on-Chip Interconnect Infrastructures. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect infrastructure, unicast test, multicast test, built-in self-test, network-on-chip
22Jiang Chau Wang, Paulo Sérgio Cardoso, Jose Artur Quilici González, Marius Strum, Ricardo Pires Datapath BIST Insertion Using Pre-Characterized Area and Testability Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test library, RTL architecture, pre-computed testability, self-test
22Kumar L. Parthasarathy, Turker Kuyel, Dana Price, Le Jin, Degang Chen 0001, Randall L. Geiger BIST and production testing of ADCs using imprecise stimulus. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ADC linearity, imprecision measurement, imprecision stimulus, built-in self-test, Analog and mixed-signal testing, production test
22Gabriela Peretti, Eduardo Romero 0002, Franco Salvático, Carlos A. Marqués A Functional Approach to Test Cascaded BCD Counters. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF off-line built-in self-test, functional test, synchronous systems, digital testing
22Peter Wohl, John A. Waicukauski, Sanjay Patel, Gregory A. Maston Effective diagnostics through interval unloads in a BIST environment. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault diagnosis, built-in self-test (BIST)
22Paulo F. Flores, Horácio C. Neto, João P. Marques Silva An exact solution to the minimum size test pattern problem. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP)
22Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for Combinational Cluster Interconnect Testing at Board Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster testing, built-in self-test, BIST, boundary scan, interconnect testing
22Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
22Huy Nguyen 0001, Rabindra K. Roy, Abhijit Chatterjee Partial Reset Methodology and Experiments for Improving Random-Pattern Testability and BIST of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit BIST, built0in self-test, fault propagation analysis, BIST, partial reset
22Jacob Savir Module Level Weighted Random Patterns. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF weighted random test, self-test, detection probability, signal probability, pseudorandom test
22Martin Rudolph Feedback-testing by using multiple input signature registers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Bult-in self-test, design for testability, test-pattern generation, testability analysis, MISR
22Chien-In Henry Chen, Kiran George Configurable two-dimensional linear feedback shifter registers for deterministic and random patterns [logic BIST]. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Nicola Nicolici, Bashir M. Al-Hashimi, Andrew D. Brown, Alan Christopher Williams BIST hardware synthesis for RTL data paths based on testcompatibility classes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Donghoon Han, Selim Sermet Akbay, Soumendu Bhattacharya, Abhijit Chatterjee, William R. Eisenstadt On-Chip Self-Calibration of RF Circuits Using Specification-Driven Built-In Self Test (S-BIST). Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Peter Böhlau Zero Aliasing Compression Based on Groups of Weakly Independent Outputs in Circuits with High Complexity for Two Fault Models. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF zero aliasing, self-testing circuits, functional properties, groupability, weakly independent outputs, built-in self-test
22Slawomir Pilarski Comments on "Test efficiency analysis of random self-test of sequential circuits". Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Ken Batcher, Christos A. Papachristou Instruction Randomization Self Test For Processor Cores. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Dick L. Liu, Edward J. McCluskey Design of large embedded CMOS PLAs for built-in self-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
21Mohammad H. Tehranipour, Seid Mehdi Fakhraie, Zainalabedin Navabi, M. R. Movahedin A Low-Cost At-Speed BIST Architecture for Embedded Processor and SRAM Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST architecture, DSP/microprocessor, UTS-DSP, bit/word-oriented memory, memory testing, march test
21Aiman H. El-Maleh, Yahya E. Osais A retiming-based test pattern generator design for built-in self test of data path architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Sarma Sastry, Amitava Majumdar 0002 Test efficiency analysis of random self-test of sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Shyue-Kung Lu, Chien-Hung Yeh, Han-Wen Lin Efficient Built-in Self-Test Techniques for Memory-Based FFT Processors. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Sheng-Hung Hsieh, Ming-Jun Hsiao, Tsin-Yuan Chang An Embedded Built-In-Self-Test Approach for Analog-to-Digital Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Jeng-Horng Tsai, Ming-Jun Hsiao, Tsin-Yuan Chang An Embedded Built-in-Self-Test Approach for Digital-to-Analog Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Kuo-Liang Cheng, Chia-Ming Hsueh, Jing-Reng Huang, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu Automatic Generation of Memory Built-in Self-Test Cores for System-on-Chip. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Chin-Long Wey Built-in self-test (BIST) design of high-speed carry-free dividers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Karim Arabi, Bozena Kaminska, Janusz Rzeszut A new built-in self-test approach for digital-to-analog and analog-to-digital converters. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Nilmoni Deb, R. D. (Shawn) Blanton Built-In Self Test of CMOS-MEMS Accelerometers. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Thomas Olbrich, Andrew Richardson 0001 Design and Self-Test for Switched-Current Building Blocks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21André Borin Soares, Alexsandro Cristovão Bonatto, Altamiro Amadeu Susin A new march sequence to fit DDR SDRAM test in burst mode. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DDR SDRAM, march algorithms, built-in self test, system on chip, memory test
21Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
21Ioannis Voyiatzis, Constantin Halatsis A Low-Cost Concurrent BIST Scheme for Increased Dependability. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF input vector monitoring concurrent BIST, Built-in self test, concurrent testing
21Dirk Niggemeyer, Elizabeth M. Rudnick A data acquisition methodology for on-chip repair of embedded memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF column failures, on-chip repair, built-in self-test, Diagnosis, memory test, march tests, embedded memory, coupling faults
21Wei-Lun Wang, Kuen-Jong Lee An Efficient Deterministic Test Pattern Generator for Scan-Based BIST Environment. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-mode pattern generation, built-in self-test, power consumption, test application time, scan chain
21Muhammad Nummer, Manoj Sachdev A Methodology for Testing High-Performance Circuits at Arbitrarily Low Test Frequency. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, Delay-fault testing, design for delay testability
21Albrecht P. Stroele Synthesis for Arithmetic Built-In Self-Tes. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator
21Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Scheduling and Module Assignment for Reducing Bist Resources. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in Self-test, High-level synthesis
21Cristian Grecu, André Ivanov, Resve A. Saleh, Partha Pratim Pande Testing Network-on-Chip Communication Fabrics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal A New ATPG Technique (ExpoTan) for Testing Analog Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sandeep Koranne Formulating SoC test scheduling as a network transportation problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Chao-Wen Tseng, Subhasish Mitra, Edward J. McCluskey, Scott Davidson 0001 An Evaluation of Pseudo Random Testing for Detecting Real Defects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Kowen Lai, Christos A. Papachristou BIST Testability Enhancement of System Level Circuits : Experience with An Industrial Design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Wolfgang O. Budde Modular testprocessor for VLSI chips and high-density PC boards. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Kanad Chakraborty Testing and Reliability Techniques for High-Bandwidth Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiport RAM, BIST (built-in self-test), BISR (built-in self-repair), column-multiplexed addressing, fault tolerance, reliability, bandwidth
20Dusko Karaklajic, Miroslav Knezevic, Ingrid Verbauwhede Low Cost Built in Self Test for Public Key Crypto Cores. Search on Bibsonomy FDTC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Security, Built-In Self-Test, Public-Key Cryptography, Pseudorandom Testing
20Tiago R. Balen, José Vicente Calvano, Marcelo Lubaszewski, Michel Renovell Built-In Self-Test of Field Programmable Analog Arrays based on Transient Response Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog built-in self-test, Transient response analysis, FPAA
20Abdul Wahid Hakmi, Hans-Joachim Wunderlich, Valentin Gherman, Michael Garbers, Jürgen Schlöffel Implementing a Scheme for External Deterministic Self-Test. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Deterministic self-test, external BIST, test data compression, test resource partitioning
20Kamalnayan Jayaraman, Vivekananda M. Vedula, Jacob A. Abraham Native Mode Functional Self-Test Generation for Systems-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional self-test, native-mode, signature compression, system-on-chip, at-speed
20Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian An Effective Built-In Self-Test Scheme for Parallel Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF tree multipliers, Built-in self-test, array multipliers, cell fault model
20Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Effective Built-In Self-Test for Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Built-In Self Test, design for testability, data paths
20T. Bogue, Michael Gössel, Helmut Jürgensen, Yervant Zorian Built-In Self-Test with an Alternating Output. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cover circuit, Built-in self-test, circuit testing
20Yuejian Wu, Sanjay Gupta Built-In Self-Test for Multi-Port RAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Random Access Memory (RAM) test, multi-port RAM test, Built-In Self-Test (BIST)
20Kazuhiko Iwasaki, Shigeo Nakamura Aliasing Error for a Mask ROM Built-In Self-Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mask ROM, experimental faults analysis, Built-in self-test, aliasing probability, MISRs
20Sandeep K. Gupta 0001, Dhiraj K. Pradhan Utilization of On-Line (Concurrent) Checkers During Built-In-Self-Test and Vice Versa. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF concurrent checking, fault-escape probability, parity prediction, Built-in self-test, BIST
20Samir Boubezari, Bozena Kaminska A Deterministic Built-In-Self-Test Generator Based on Cellular Automata Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF autonomous finite-state machine, built-in self-test, Cellular automata, programmable logic array, test vector generator
20Sudhakar M. Reddy, Kewal K. Saluja, Mark G. Karpovsky A Data Compression Technique for Built-In Self-Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF data compression technique, error-propagating space compression, Exclusive-NOR, logic testing, data compression, built-in self-test, BIST, automatic testing, self-testing, fault analysis, Exclusive-OR
20William H. McAnney, Jacob Savir Built-In Checking of the Correct Self-Test Signature. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF self-test signature, initial value, signature register, single observation, logic testing, automatic testing, built-in testing
20Robert P. Treuer, Vinod K. Agarwal, Hideo Fujiwara A New Built-In Self-Test Design for PLA's with High Fault Coverage and Low Overhead. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF output response compression, parity bits, Built-in self test (BIST), fault models, fault coverage, VLSI design, test pattern generation, programmable logic array (PLA)
20Alexander Miczo A Self-Test Hardwired Control Section. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Control section, fault diagnosis, fault detection, self-test
20Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda A System-layer Infrastructure for SoC Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC diagnosis, Processor and UDL logic self-testing, Memory, Infrastructure-IP
20Sandeep Jain, Jais Abraham, Srinivas Kumar Vooka, Sumant Kale, Amit Dutta, Rubin A. Parekhji Enhancements in Deterministic BIST Implementations for Improving Test of Complex SOCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license