The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1980 (15) 1981-1985 (22) 1986-1988 (22) 1989-1990 (30) 1991 (16) 1992 (16) 1993 (26) 1994 (24) 1995 (33) 1996 (38) 1997 (53) 1998 (54) 1999 (49) 2000 (66) 2001 (80) 2002 (83) 2003 (99) 2004 (138) 2005 (159) 2006 (180) 2007 (179) 2008 (222) 2009 (137) 2010 (124) 2011 (131) 2012 (109) 2013 (117) 2014 (116) 2015 (137) 2016 (129) 2017 (151) 2018 (162) 2019 (192) 2020 (183) 2021 (188) 2022 (178) 2023 (166) 2024 (43)
Publication types (Num. hits)
article(1906) book(3) data(1) incollection(16) inproceedings(1901) phdthesis(39) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 693 keywords

Results
Found 3867 publication records. Showing 3867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Sergei Chubanov, Mikhail Y. Kovalyov, Erwin Pesch An FPTAS for a single-item capacitated economic lot-sizing problem with monotone cost structure. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Capacitated economic lot-sizing problem, Dynamic programming, Fully polynomial time approximation scheme
40Laurence A. Wolsey Lot-sizing with production and delivery time windows. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Production time windows, Convex hull, Mixed integer programming, Lot-sizing
40Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP)
40Kai Wang 0011, Malgorzata Marek-Sadowska Buffer sizing for clock power minimization subject to general skew constraints. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew scheduling
40Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
40Sunil Thulasidasan, Wu-chun Feng, Mark K. Gardner Optimizing GridFTP through Dynamic Right-Sizing. Search on Bibsonomy HPDC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic right-sizing, DRS, drsFTP, computational grid, Globus, GridFTP, auto-tuning, bandwidth-delay product
40Paul I. Pénzes, Mika Nyström, Alain J. Martin Transistor sizing of energy-delay--efficient circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
40Tanay Karnik, Yibin Ye, James W. Tschanz, Liqiong Wei, Steven M. Burns, Venkatesh Govindarajulu, Vivek De, Shekhar Borkar Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dual-Vt design, multiple threshold, optimization, sizing
40Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah Optimization of custom MOS circuits by transistor sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, optimization, Circuits, gradients, transistor sizing
40Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
40Sachin S. Sapatnekar, Weitong Chuang Power vs. delay in gate sizing: conflicting objectives? Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, short-circuit power, logic design, logic CAD, integrated circuit design, circuit CAD, optimization problem, circuit optimisation, gate sizing, convex programming, CMOS digital integrated circuits, dynamic power
38Bo Fu, Qiaoyan Yu, Paul Ampadu Energy-delay minimization in nanoscale domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay, energy, leakage, low voltage, domino
38Shrirang K. Karandikar, Sachin S. Sapatnekar Fast comparisons of circuit implementations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Cristiano Santos, Daniel Lima Ferrão, Ricardo Reis 0001, José Luís Güntzel Incremental timing optimization for automatic layout generation. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Tian-Li Yu 0001, David E. Goldberg, Kumara Sastry Optimal Sampling and Speed-Up for Genetic Algorithms on the Sampled OneMax Problem. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Is wire tapering worthwhile? Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Fernando G. Lobo, Cláudio F. Lima Revisiting evolutionary algorithms with on-the-fly population size adjustment. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF population sizing, parameter control
35Mandeep Bamal, Evelyn Grossar, Michele Stucchi, Karen Maex Interconnect width selection for deep submicron designs using the table lookup method. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect sizing, power-delay trade-off, wire sizing
34Yves Pochet, Laurence A. Wolsey Single item lot-sizing with non-decreasing capacities. Search on Bibsonomy Math. Program. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 90C11, 90C57
34Daniel K. Beece, Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov, Yifang Liu Transistor sizing of custom high-performance digital circuits with parametric yield considerations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF custom circuits, optimization
34Fatemeh Eslami, Amirali Baniasadi, Mostafa Farahani Application Specific Transistor Sizing for Low Power Full Adders. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Jaskirat Singh, Zhi-Quan Luo, Sachin S. Sapatnekar A Geometric Programming-Based Worst Case Gate Sizing Method Incorporating Spatial Correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Yongpei Guan, Andrew Miller A Polynomial Time Algorithm for the Stochastic Uncapacitated Lot-Sizing Problem with Backlogging. Search on Bibsonomy IPCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Tao Luo 0002, David Newmark, David Z. Pan Total power optimization combining placement, sizing and multi-Vt through slack distribution management. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Michael Pehl, Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann A random and pseudo-gradient approach for analog circuit sizing with non-uniformly discretized parameters. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Neda Beheshti, Yashar Ganjali, Monia Ghobadi, Nick McKeown, Geoff Salmon Experimental study of router buffer sizing. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network test-beds, router buffer size, tcp, netfpga
34Vishal Khandelwal, Ankur Srivastava 0001 Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Vasant Patil, Rajeev Kumar A Fast Arbitrary Factor H.264/AVC Video Re-Sizing Algorithm. Search on Bibsonomy ICIP (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram Sizing and placement of charge recycling transistors in MTCMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Venkataraman Mahalingam, N. Ranganathan, Justin E. Harlow III A novel approach for variation aware power minimization during gate sizing. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Alexandre Verle, A. Landrault, Philippe Maurine, Nadine Azémard Circuit sizing method under delay constraint. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Narender Hanchate, Nagarajan Ranganathan Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34M. Emadi, Amir Jafargholi, M. H. Sargazi Moghadam, Mohammad Mahdi Nayebi Optimum Supply and Threshold Voltages and Transistor Sizing Effects on Low Power SOI Circuit Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Venkataraman Mahalingam, N. Ranganathan A Nonlinear Programming Based Power Optimization Methodology for Gate Sizing and Voltage Selection. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja False Path and Clock Scheduling Based Yield-Aware Gate Sizing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Noriyuki Miura, Naoki Kato, Tadahiro Kuroda Practical methodology of post-layout gate sizing for 15% more power saving. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Vishal Khandelwal, Ankur Srivastava 0001 Leakage control through fine-grained placement and sizing of sleep transistors. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi Fast and exact transistor sizing based on iterative relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Interconnect sizing and spacing with consideration of couplingcapacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Murat R. Becer, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Kishore Kasamsetty, Mahesh Ketkar, Sachin S. Sapatnekar A new class of convex functions for delay modeling and itsapplication to the transistor sizing problem [CMOS gates]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34E. T. A. F. Jacobs, Michel R. C. M. Berkelaar Gate Sizing Using a Statistical Delay Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Mahesh Ketkar, Kishore Kasamsetty, Sachin S. Sapatnekar Convex delay models for transistor sizing. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
34Youxin Gao, Martin D. F. Wong Wire-sizing optimization with inductance consideration using transmission-line model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Tong Xiao, Malgorzata Marek-Sadowska Crosstalk Reduction by Transistor Sizing. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Yanbin Jiang, Sachin S. Sapatnekar, Cyrus Bamji, Juho Kim Interleaving buffer insertion and transistor sizing into a single optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Kerry S. Lowe, P. Glenn Gulak A joint gate sizing and buffer insertion method for optimizing delay and power in CMOS and BiCMOS combinational logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Masanori Hashimoto, Hidetoshi Onodera, Keikichi Tamaru A power optimization method considering glitch reduction by gate sizing. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing with Gate Sizing for Low Power Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Manjit Borah, Robert Michael Owens, Mary Jane Irwin Transistor sizing for low power CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Allen C.-H. Wu, Nels Vander Zanden, Daniel Gajski A new algorithm for transistor sizing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
34William H. Kao, Nader Fathi, Chia-Hao Lee Algorithms for automatic transistor sizing in CMOS digital circuits. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
32Jason E. Cook, Daniel R. Tauritz An exploration into dynamic population sizing. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterless evolutionary algorithm, optimization, evolutionary algorithm, population sizing, parameter control
32Jernej Olensek, Árpád Bürmen, Janez Puhan, Tadej Tuma DESA: a new hybrid global optimization method and its application to analog integrated circuit sizing. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog integrated circuit sizing, Optimization, Simulated annealing, Differential evolution
32André Nwamba, Daniel R. Tauritz Futility-based offspring sizing. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF offspring sizing, parameterless evolutionary algorithm, optimization, evolutionary algorithm, parameter control
32Sanja Petrovic, Carole Fayad, Dobrila Petrovic, Edmund K. Burke, Graham Kendall Fuzzy job shop scheduling with lot-sizing. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fuzzy multi-objective genetic algorithm, Job shop scheduling, Batching, Real-world application, Lot-sizing, Fuzzy rule-based system, Dispatching rules
32Guy Even, Retsef Levi, Dror Rawitz, Baruch Schieber, Shimon Shahar, Maxim Sviridenko Algorithms for capacitated rectangle stabbing and lot sizing with joint set-up costs. Search on Bibsonomy ACM Trans. Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF capacitated covering, rectangle stabbing, Approximation algorithms, lot sizing
32Deisemara Ferreira, Paulo Morelato França, Alf Kimms, Reinaldo Morabito, Socorro Rangel, Claudio Fabiano Motta Toledo Heuristics and meta-heuristics for lot sizing and scheduling in the soft drinks industry: a comparison study. Search on Bibsonomy Metaheuristics for Scheduling in Industrial and Manufacturing Applications The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Two-level Production Planning, Soft Drinks Industry, Genetic Algorithm, Scheduling, Memetic Algorithm, Lot Sizing
32Alexandre Dolgui, Mikhail Y. Kovalyov, Kseniya Shchamialiova Lot-Sizing and Sequencing on a Single Imperfect Machine. Search on Bibsonomy MCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Imperfect production, Sequencing, Lot-sizing
32Ian Kuon, Jonathan Rose Automated transistor sizing for FPGA architecture exploration. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, transistor sizing
32Silvio A. de Araujo, Marcos Nereu Arenales, Alistair R. Clark Joint rolling-horizon scheduling of materials processing and lot-sizing with sequence-dependent setups. Search on Bibsonomy J. Heuristics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sequence-dependent setup costs and times, Fix-and-relax, Scheduling, Local search, Lot-sizing
32Mathieu Van Vyve Linear-programming extended formulations for the single-item lot-sizing problem with backlogging and constant capacity. Search on Bibsonomy Math. Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Constant capacity, Backlogging, Extended formulation, Lot-sizing
32Scott Hanson, Dennis Sylvester, David T. Blaauw A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage scaling, gate sizing, subthreshold circuits
32Azadeh Davoodi, Ankur Srivastava 0001 Variability driven gate sizing for binning yield optimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speed binning, process variations, gate sizing
32Brian Swahn, Soha Hassoun Gate sizing: finFETs vs 32nm bulk MOSFETs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate sizing, thermal modeling, FinFET
32David G. Chinnery, Kurt Keutzer Linear programming for sizing, Vth and Vdd assignment. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear program, delay, power, sizing
32Kai Wang 0011, Malgorzata Marek-Sadowska Clock network sizing via sequential linear programming with time-domain analysis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew, time-domain analysis
32Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
32Hyewon Seo, Nadia Magnenat-Thalmann An automatic modeling of human bodies from sizing parameters. Search on Bibsonomy SI3D The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 3D scan data, sizing parameters, PCA, interpolation, examples, human body modeling
32Vincenzo Galdi, Lucio Ippolito, Antonio Piccolo, Alfredo Vaccaro A genetic-based methodology for hybrid electric vehicles sizing. Search on Bibsonomy Soft Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Hybrid electric vehicles, Powertrain sizing, Genetic optimisation, Genetic algorithms
32Sachin S. Sapatnekar, Weitong Chuang Power-delay optimizations in gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optimization, power estimation, VLSI layout, transistor sizing
32Eduardo Miranda An evaluation of the paired comparisons method for software sizing. Search on Bibsonomy ICSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF estimation methods, paired comparisons method, subjective estimates, software sizing, measurement methods
32Abhijit Das On the Transistor Sizing Problem. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Timing Analysis, Timing Optimization, Transistor Sizing, Delay Constraint
32Chris C. N. Chu, D. F. Wong 0001 A new approach to simultaneous buffer insertion and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect delay minimization, interconnect area minimization, convex quadratic programming, buffer insertion, wire sizing
32Si-Hun Sung, Sung-Il Chien, Mun-Gab Kim, Joon-Nyun Kim Adaptive Window Algorithm with Four-Direction Sizing Factors for Robust Correlation-Based Tracking. Search on Bibsonomy ICTAI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Sizing Factors, PDOE and AWA-FSF, Tracking, Correlation, Adaptive Window
30Chin-Cheng Kuo, Yen-Lung Chen, I-Ching Tsai, Li-Yu Chan, Chien-Nan Jimmy Liu Behavior-level yield enhancement approach for large-scaled analog circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variation, analog circuits, yield enhancement
30Nicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnect-Driven Floorplanning, Performance Optimization
30Jason Cong, David Zhigang Pan Interconnect performance estimation models for design planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Jason Cong, David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Interconnect power and delay optimization by dynamic programming in gridded design rules. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gridded design rules, interconnect sizing and spacing, power-delay optimization, dynamic programming, interconnect optimization
29Ying-Yu Chen, Chen Dong 0003, Deming Chen Clock tree synthesis under aggressive buffer insertion. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF slew, buffer insertion, buffer sizing, clock tree, maze routing
29Bo Liu 0003, Francisco V. Fernández 0001, Georges G. E. Gielen, Rafael Castro-López, Elisenda Roca A memetic approach to the automatic design of high-performance analog integrated circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog circuit sizing, analog design automation, constrained optimization, memetic algorithm
29Jui-Tsung Wong, Kuei-Hsien Chen, Chwen-Tzeng Su Replenishment Policy with Deteriorating Raw Material Under a Supply Chain: Complexity and the Use of Ant Colony Optimization. Search on Bibsonomy UNISCON The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic lot-sizing problem, deteriorating raw material, complexity, Ant colony optimization
29Do Young Eun, Xinbing Wang Achieving 100% throughput in TCP/AQM under aggressive packet marking with small buffer. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF router buffer sizing, small buffer, transmission control protocol, TCP/IP, stochastic modeling
29Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
29I-Jye Lin, Tsui-Yee Ling, Yao-Wen Chang Statistical circuit optimization considering device andinterconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate and wire sizing, statistical optimization
29Guoli Liu, Lixin Tang Model and Solution for the Multilevel Production-Inventory System Before Ironmaking in Shanghai Baoshan Iron and Steel Complex. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF combinatorial optimization, lagrangian relaxation, Lot-sizing
29Fred W. Glover, Hanif D. Sherali Some Classes of Valid Inequalities and Convex Hull Characterizations for Dynamic Fixed-Charge Problems under Nested Constraints. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic fixed-charge problems, capacitated lot-sizing, convex hull, valid inequalities, reformulation-linearization technique
29Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
29Sreeja Raj, Sarma B. K. Vrudhula, Janet Meiling Wang A methodology to improve timing yield in the presence of process variations. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing analysis, gate sizing, timing yield
29Arindam Mukherjee 0001, Krishna Reddy Dusety, Rajsaktish Sankaranarayan A practical CAD technique for reducing power/ground noise in DSM circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power/ground noise, low power, linear programming, timing analysis, gate sizing, simultaneous switching noise
28Mandeep Bamal, Youssef Travaly, Wenqi Zhang, Michele Stucchi, Karen Maex Impact of interconnect resistance increase on system performance of low power and high performance designs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect sizing, interconnect technology evaluation, power-delay trade-off, wire sizing
26Afzal Godil Facial Shape Analysis and Sizing System. Search on Bibsonomy HCI (11) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PCA, cluster analysis, shape descriptor, Anthropometry
26Xiaohua Guan, Witawas Srisa-an, ChengHuan Jia Investigating the effects of using different nursery sizing policies on performance. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreaded applications, generational garbage collection
26Weiguang Sheng, Liyi Xiao, Zhigang Mao Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithm, optimization, soft error, multi-objective
26Venkataraman Mahalingam, N. Ranganathan, J. E. Harlow A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Stack Sizing for Optimal Current Drivability in Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Daniel Quadt, Heinrich Kuhn Capacitated lot-sizing with extensions: a review. Search on Bibsonomy 4OR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MSC Classification (2000) 90B30, 90B35, 90C27, 90C90, 90C10, 90C59
Displaying result #101 - #200 of 3867 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license