The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
33Liang Song, Dimitrios Hatzinakos A cross-layer architecture of wireless sensor networks for target tracking. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded wireless interconnect, open systems interconnect, wireless sensor networks, medium access control, target tracking, application layer
33T. Boggess, F. Shirley High-performance scalable computing for real-time applications. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high-performance scalable computing, interconnect technologies, high-performance computing technologies, embedded military applications, heterogeneous computer nodes, high-throughput system area network, standardized intelligent node-to-network interface, LANai, Sanders, Ptolemy environment, high density interconnect packaging, avionics applications, PacketWay, Internet Engineering Task Force proposed standard, high speed inter-SAN encryption, simulation, modeling, real-time systems, reconfigurable computing, real-time applications, RISC, switched network, digital signal processor, Myrinet
32Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones Interconnect customization for a hardware fabric. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware fabric, architecture, computer-aided design, Reconfigurable, low-energy, demonstrable
32Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng 0001, Mishali Naik, Lixia Zhang 0001, Jason Cong A scalable micro wireless interconnect structure for CMPs. Search on Bibsonomy MobiCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip wireless interconnection network, chip multiprocessors
32Andreas Hansson 0001, Kees Goossens An on-chip interconnect and protocol stack for multiple communication paradigms and programming models. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system on chip, network on chip, programming model, protocol stack
32Stephen Plaza, Igor L. Markov, Valeria Bertacco Optimizing Nonmonotonic Interconnect Using Functional Simulation and Logic Restructuring. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Jun-Kuei Zeng, Chung-Ping Chen Deep Submicron Interconnect Timing Model with Quadratic Random Variable Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Stephen Plaza, Igor L. Markov, Valeria Bertacco Optimizing non-monotonic interconnect using functional simulation and logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Siyuan Liu, Lei Li, Yiyang Zhao, Jianping Fan 0002 GMIP: A Novel Optical Interconnect Gridded Memory Service Protocol. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Yehea I. Ismail Interconnect design and limitations in nanoscale technologies. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Wu Jigang, Thambipillai Srikanthan, Kai Wang Finding minimum interconnect sub-arrays in reconfigurable VLSI arrays. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Yehia Massoud, Arthur Nieuwoudt Performance analysis of optimized carbon nanotube interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud Impact of dummy filling techniques on interconnect capacitance and planarization in nano-scale process technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturability, dummy fill
32Xiaopeng Ji, Long Ge, Xiaodong Han, Zhiquan Wang Wire-Sizing for Interconnect Performance Optimization Considering High Inductance Effects. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Magnus Själander, Per Larsson-Edefors, Magnus Björk A Flexible Datapath Interconnect for Embedded Applications. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32PariVallal Kannan, Dinesh Bhatia Interconnect estimation for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Andrew Havlir, David Z. Pan Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Narender Hanchate, Nagarajan Ranganathan A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ajay Joshi, Vinita V. Deodhar, Jeffrey A. Davis Low Power Multilevel Interconnect Networks Using Wave-Pipelined Multiplexed (WPM) Routing. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Guoqing Chen, Eby G. Friedman An RLC interconnect model based on fourier analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Ashok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, Jason Cong Microarchitecture evaluation with floorplanning and interconnect pipelining. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Hidenari Nakashima, Junpei Inoue, Kenichi Okada, Kazuya Masu ULSI Interconnect Length Distribution Model Considering Core Utilization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Nicola Campregher, Peter Y. K. Cheung, Milan Vasilko BIST Based Interconnect Fault Location for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Zhijian Lu, Wei Huang 0004, John C. Lach, Mircea R. Stan, Kevin Skadron Interconnect lifetime prediction under dynamic stress for reliability-aware design. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Vikas Chandra, Herman Schmit, Anthony Xu, Lawrence T. Pileggi A power aware system level interconnect design methodology for latency-insensitive systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Janet Meiling Wang, Omar Hafiz Predicting Interconnect Uncertainty with a New Robust Model Order Reduction Method. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Routability and Fault Tolerance of FPGA Interconnect Architectures. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Janet Meiling Wang, Omar Hafiz, Jun Li 0066 A linear fractional transform (LFT) based model for interconnect parametric uncertainty. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linear fractional transform, parametric uncertainty
32Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel Interconnect Driven Low Power High-Level Synthesis. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Andrew B. Kahng, Bao Liu 0001 Q-Tree: A New Iterative Improvement Approach for Buffered Interconnect Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Pallav Gupta, Lin Zhong 0001, Niraj K. Jha A High-level Interconnect Power Model for Design Space Exploration. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Traianos V. Yioultsis, Anne Woo, Andreas C. Cangellaris Passive Synthesis of Compact Frequency-Dependent Interconnect Models via Quadrature Spectral Rules. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Transmission-line modeling of interconnects, interconnects with frequency-dependent losses, passive reduced- order synthesis
32Kaushik Gala, David T. Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi Inductance model and analysis methodology for high-speed on-chip interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Chung-Seok (Andy) Seo, Abhijit Chatterjee A CAD Tool for System-on-Chip Placement and Routing with Free-Space Optical Interconnect. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Kaustav Banerjee, Amit Mehrotra Inductance Aware Interconnect Scaling. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Lisa Noordergraaf, Robert Zak SMP system interconnect instrumentation for performance analysis. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Jason Cong, David Zhigang Pan Interconnect performance estimation models for design planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Minghorng Lai, D. F. Wong 0001 Memory-efficient interconnect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Nagaraj Ns, Poras T. Balsara, Cyrus D. Cantrell Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Yue Liu VCSEL Based Smart Pixel Array Technology Enables Chip-to-Chip Optical Interconnect. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Hung-Ming Chen, Hai Zhou 0001, Fung Yu Young, D. F. Wong 0001, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning and interconnect planning. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Yehea I. Ismail, Eby G. Friedman, José Luis Neves Repeater insertion in tree structured inductive interconnect. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Debaleena Das, Nur A. Touba A Low Cost Approach for Detecting, Locating, and Avoiding Interconnect Faults in FPGA-Based Reconfigurable Systems. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPGs for Faults in Board Level Interconnect via Boundary Scan. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
32Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer SACI: statistical static timing analysis of coupled interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coupled interconnect, sources of variation, crosstalk noise, statistical timing analysis
32Vineet Wason, Kaustav Banerjee A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer-interconnect system, statistical delay and power models, sensitivity analysis, parameter variations, statistical optimization
32Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF piecewise-linear, performance, pipeline, interconnect, floorplanning
32Min Ni, Seda Ogrenci Memik Self-heating-aware optimal wire sizing under Elmore delay model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Guoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson 0001, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi Electrical and optical on-chip interconnects in scaled microprocessors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Keoncheol Shin, Taewhan Kim Tight integration of timing-driven synthesis and placement of parallel multiplier circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Andrey V. Mezhiba, Eby G. Friedman Impedance characteristics of power distribution grids in nanoscale integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim A decoupling technique for efficient timing analysis of VLSI interconnects with dynamic circuit switching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Iris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou, Kai-Yuan Chao Simultaneous floor plan and buffer-block optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LI-BIST, crosstalk test, BIST, SoC test, low-power test
32Andrew B. Kahng, Sudhakar Muddu An analytical delay model for RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Manjit Borah, Robert Michael Owens, Mary Jane Irwin Recent Developments in Performance Driven Steiner Routing: An Overview. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28J. Balachandran, Steven Brebels, Geert Carchon, Tomas Webers, Walter De Raedt, Bart Nauwelaers, Eric Beyne Package level interconnect options. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance metrics, package, transmission lines, global interconnects
28Ron Ho High-performance ULSI: the real limiter to interconnect scaling. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, wireless, 3D, scaling, proximity, repeaters, wires
28Hongyu Chen, Changge Qiao, Feng Zhou, Chung-Kuan Cheng Refined single trunk tree: a rectilinear steiner tree generator for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF rectilinear steiner tree algorithm, refined single trunk tree, routing estimation, VLSI CAD
28Donglai Dai, Aniruddha S. Vaidya, Roy Saharoy, Seungjoon Park, Dongkook Park, Hariharan L. Thantry, Ralf Plate, Elmar Maas, Akhilesh Kumar, Mani Azimi FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, routing algorithm, on-chip interconnect, router architecture
28Prashant Saxena, Vishal Khandelwal, Changge Qiao, Pei-Hsin Ho, J.-C. Lin, Mahesh A. Iyer On improving optimization effectiveness in interconnect-driven physical synthesis. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnect, physical synthesis, circuit optimization, vlsi
28Mackenzie R. Scott, Rajeevan Amirtharajah Pulse width modulation for reduced peak power full-swing on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power interconnect, peak power, pulse width modulation
28Joseph Nayfach-Battilana, Jose Renau SOI, interconnect, package, and mainboard thermal characterization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SOI modeling, package modeling, thermal modeling, interconnect modeling
28Ge Chen, Saeid Nooshabadi, Steven G. Duvall An optimization strategy for low energy and high performance for the on-chip interconnect signalling. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnect signaling, low energy
28Krishnan Sundaresan, Nihar R. Mahapatra Interconnect Signaling and Layout Optimization to Manage Thermal Effects Due to Self Heating in On-Chip Signal Buses. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus Energy, Self Heating, Wire Permutation, Optimization, Interconnect, Layout, Temperature, On-Chip Bus
28Stefan Spinner, Ilia Polian, Piet Engelke, Bernd Becker 0001, Martin Keim, Wu-Tung Cheng Automatic Test Pattern Generation for Interconnect Open Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect opens, Open-via defects, ATPG
28Rostislav (Reuven) Dobkin, Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Parallel vs. serial on-chip communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-rail, long-range interconnect serial link, parallel link, asynchronous circuits
28Thomas William Ainsworth, Timothy Mark Pinkston On Characterizing Performance of the Cell Broadband Engine Element Interconnect Bus. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Element Interconnect Bus, heterogeneous multicore, network characterization, interconnection networks, network-on-chip, Cell Broadband Engine, on-chip network, performance bottleneck
28Farshad Safaei, Ahmad Khonsari, Mahmood Fathy, Mohamed Ould-Khaoua Performance Evaluation of Fully Adaptive Routing for the Torus Interconnect Networks. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive routing algorithm, parallel computer network, torus Interconnect Networks
28Avinoam Kolodny Networks on chips: keeping up with Rent's rule and Moore's law. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, timing, interconnect, power, on-chip network, wires
28Jonggab Kil, Jie Gu 0003, Chris H. Kim A high-speed variation-tolerant interconnect technique for sub threshold circuits using capacitive boosting. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF capacitive boosting, sub-threshold circuit, clock skew, global interconnect, variation tolerance
28Henk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen Optimal Interconnect ATPG Under a Ground-Bounce Constraint. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, interconnect, Hamming distance, wires, ground bounce
28James D. Z. Ma, Rob A. Rutenbar Fast interval-valued statistical interconnect modeling and reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interval-valued statistical interconnect analysis, manufacturing variation, affine arithmetic
28Rohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek Low energy FPGA interconnect design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, low power, interconnect, encoding
28David Goren, Michael Zelikson, Rachel Gordin, Israel A. Wagner, Anastasia Barger, Alon Amir, Betty Livshitz, Anatoly Sherman, Youri Tretiakov, Robert A. Groves, J. Park, Donald L. Jordan, Sue E. Strang, Raminderpal Singh, Carl E. Dickey, David L. Harame On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modeling, interconnect, vlsi
28Joachim Pistorius, Mike Hutton Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA architecture, interconnect prediction, SLIP, rent
28Li Chen, Xiaoliang Bai, Sujit Dey Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect, crosstalk, processor, self-test
28Luca Daniel, Alberto L. Sangiovanni-Vincentelli, Jacob K. White 0001 Proximity templates for modeling of skin and proximity effects on packages and high frequency interconnect. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Proximity Effect, interconnect analysis, Skin Effect, parasitic extraction
28Payam Heydari, Massoud Pedram Interconnect Energy Dissipation in High-Speed ULSI Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ultra-large integrated (ULSI) circuits, Energy dissipation CMOS circuits, RLC circuits, Interconnect, Transmission lines
28Srikanth Venkataraman, Scott Brady Drummonds A Technique for Logic Fault Diagnosis of Interconnect Open Defects. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Diagnosis and Debugging, Logic Fault Diagnosis, Interconnect Open Defects, Fault Modeling and Simulation, Dynamic Diagnosis
28Jens Mache An Assessment of Gigabit Ethernet as Cluster Interconnect. Search on Bibsonomy IWCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cluster interconnect, performance evaluation, communication network, Gigabit Ethernet, NAS parallel benchmarks
28B. E. Duewer, John M. Wilson 0002, D. A. Winick, Paul D. Franzon MEMS-Based Capacitor Arrays for Programmable Interconnect and RF Applications. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Variable Capacitors, Programable Interconnect, RF Switching, Digital Switching, Bistable Devices, MEMS, Crossbar, Capacitive Coupling
28Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, boundary scan, Interconnect testing
28José T. de Sousa, Peter Y. K. Cheung Diagnosis of Boards for Realistic Interconnect Shorts. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect diagnosis, board testing, board diagnosis
28Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng Global harmony: coupled noise analysis for full-chip RC interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect, noise, static timing analysis
28Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
28Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi On the Fault Coverage of Interconnect Diagnosis. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF diagnose, interconnect testing, adjacency graph
28Michel Renovell, Joan Figueras, Yervant Zorian Test of RAM-based FPGA: methodology and application to the interconnect. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RAM-based FPGA, manufacturing test procedure, user test procedure, orthogonal test configuration, diagonal-1 test configuration, diagonal-2 test configuration, field programmable gate arrays, interconnect
28Jason Cong, Lei He 0001 An efficient approach to simultaneous transistor and interconnect sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CH-posynomial programs, STIS, driver/buffer, transistor and interconnect sizing, wire sizing problem, circuit CAD, transistor sizing
28Steven M. P. Yip, Nicholas Bambos Scalable routing schemes for massively parallel processing using reconfigurable optical interconnect. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scalable routing schemes, reconfigurable optical interconnect, message broadcasting, massively parallel processing system, randomly generated packets, device capabilities, parallel processing, reconfigurable architectures, optical interconnections, message routing, massively parallel processing
28Haifang Liao, Wayne Wei-Ming Dai Partitioning and reduction of RC interconnect networks based on scattering parameter macromodels. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit reduction, scattering parameter, interconnect network, macromodel, Circuit partitioning, circuit synthesis
28Jens Kargaard Madsen, Stephen I. Long A High-Speed Interconnect Network Using Ternary Logic. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed interconnect network, STARI, delay differences, crossbar topology, LSI GaAs chips, MESFET process, multiprocessor interconnection networks, multiprocessor system, buffers, clock skew, ternary logic, ternary logic, point-to-point communication
28Jens Lienig introduction to electromigration-aware physical design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, layout, physical design, electromigration, current density, interconnect reliability
28Mehrdad Nourani, Amir Attarha Signal Integrity: Fault Modeling and Testing in High-Speed SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrity fault, integrity loss, transfer function matrix, built-in self-test, system-on-chip, test pattern generation, signal integrity, model order reduction, interconnect testing, skew detection, noise detection, high-speed interconnect
28Jason Cong Timing closure based on physical hierarchy. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect planning, logic hierarchy, physical hierarchy, retiming and pipelining, sequential arrival time, interconnect optimization, timing closure, multilevel optimization
28Brian A. Floyd, Xiaoling Guo, James Caserta, Timothy O. Dickson, Chih-Ming Hung, Kihong Kim, Kenneth K. O Wireless interconnects for clock distribution. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated antenna, wireless interconnect, wireless communication, interconnect, clock distribution, RF CMOS
Displaying result #201 - #300 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license