The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for compaction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1978 (15) 1979-1982 (16) 1983-1984 (22) 1985-1986 (18) 1987 (18) 1988 (22) 1989 (29) 1990 (36) 1991 (25) 1992 (29) 1993 (34) 1994 (16) 1995 (43) 1996 (33) 1997 (36) 1998 (59) 1999 (50) 2000 (47) 2001 (43) 2002 (50) 2003 (62) 2004 (59) 2005 (64) 2006 (58) 2007 (65) 2008 (69) 2009 (49) 2010 (30) 2011 (32) 2012 (28) 2013 (27) 2014 (28) 2015 (31) 2016 (21) 2017 (20) 2018 (33) 2019 (34) 2020 (39) 2021 (23) 2022 (36) 2023 (38) 2024 (5)
Publication types (Num. hits)
article(590) book(1) incollection(3) inproceedings(889) phdthesis(9)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1030 occurrences of 542 keywords

Results
Found 1492 publication records. Showing 1492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Baptiste Saint-Cyr Modélisation des matériaux granulaires cohésifs à particules non convexes : Application à la compaction des poudres d'UO2. (Numerical study of cohesive granular materials composed of nonconvex particles applied to UO2 powder compaction). Search on Bibsonomy 2011   RDF
29Irith Pomeranz, Sudhakar M. Reddy Sequence reordering to improve the levels of compaction achievable by static compaction procedures. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz, Sudhakar M. Reddy COREL: A Dynamic Compaction Procedure for Synchronous Sequential Circuits with Repetition and Local Static Compaction. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz, Sudhakar M. Reddy Dynamic Test Compaction for Synchronous Sequential Circuits using Static Compaction Techniques. Search on Bibsonomy FTCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chi-Man Pun, Ning-Yu An, Miao Cheng A Region-Based Image Segmentation by Watershed Partition and DCT Energy Compaction. Search on Bibsonomy CGIV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF energy compaction, Image segmentation, watershed, cosine transform
28Upavan Gupta, Nagarajan Ranganathan A Game Theoretic Approach for Simultaneous Compaction and Equipartitioning of Spatial Data Sets. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Equipartitioning, clustering, game theory, Nash equilibrium, compaction
28Shehzad Hasan, Ajoy Kumar Palit, Walter Anheier Test Pattern Generation and Compaction for Crosstalk Induced Glitches and Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Switching Windows, Test Set Compaction, Automatic Test Pattern Generation, Crosstalk Faults
28Michael A. Kochte, Stefan Holst, Melanie Elm, Hans-Joachim Wunderlich Test Encoding for Extreme Response Compaction. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Embedded Diagnosis, Design for Test, Test Compression, Response Compaction
28Martin Hilscher, Michael Braun, Michael Richter 0002, Andreas Leininger, Michael Gössel Accelerated Shift Registers for X-tolerant Test Data Compaction. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X-tolerant, Test Compaction, MISR
28Sounil Biswas, R. D. (Shawn) Blanton Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pass-fail test data, boolean minimization, minimum constrained subset cover, Mixed-signal test, test compaction
28Bjorn De Sutter, Ludo Van Put, Dominique Chanet, Bruno De Bus, Koen De Bosschere Link-time compaction and optimization of ARM executables. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF linker, optimization, Performance, compaction
28Haifeng He, Saumya K. Debray, Gregory R. Andrews The revenge of the overlay: automatic compaction of OS kernel code via on-demand code loading. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code clustering, embedded systems, code compaction, binary rewriting
28Christoforos N. Hadjicostis Aliasing Probability Calculations for Arbitrary Compaction under Independently Selected Random Test Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault activation probabilities, random testing, compaction, Aliasing probability
28Sheng Zhang 0008, Sharad C. Seth, Bhargab B. Bhattacharya Efficient Test Compaction for Pseudo-Random Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in testing, test-data compression, Test compaction, pseudo-random testing
28Ismet Bayraktaroglu, Alex Orailoglu Concurrent Application of Compaction and Compression for Test Time and Data Volume Reduction in Scan Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Test pattern compression, test pattern compaction, on-chip decompression, deterministic decompression, scan chains
28Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Fast Static Compaction Algorithms for Sequential Circuit Test Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Static test set compaction, recurrence subsequence, test generation, fault simulation
28Albrecht P. Stroele Test response compaction using arithmetic functions. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF arithmetic functions, combinational faults, underflow, feed back, logic testing, built-in self test, digital arithmetic, test pattern generation, adders, circuits, registers, aliasing probability, overflow, subtracters, test response compaction, arithmetic logic units
28Slawomir Pilarski, Kevin James Wiebe Counter-Based Compaction: Delay and Stuck-Open Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF edge counting, ones counting, transition counting, built-in self-test, Aliasing probability, test response compaction
28Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Test sequence compaction by reduced scan shift and retiming. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reduced scan shift, full scan designed circuits, computational complexity, logic testing, timing, transformation, design for testability, sequential circuits, sequential circuit, logic CAD, flip-flops, flip-flops, retiming, computing time, test length, test sequence generation, test sequence compaction
28Joseph A. Fisher Trace Scheduling: A Technique for Global Microcode Compaction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF global microcode optimization, microcode compaction, parallel instruction scheduling, parallel processing, Data dependency, resource conflict
28Seongmoon Wang, Kedarnath J. Balakrishnan, Wenlong Wei X-Block: An Efficient LFSR Reseeding-Based Method to Block Unknowns for Temporal Compactors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF output compaction, temporal compactor, blocking unknown values, LFSR reseeding, Built-in Self-Test, BIST, test data compression, MISR, response compaction
28André Ivanov, Barry K. Tsuji, Yervant Zorian Programmable BIST Space Compactors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BIST methodologies, BIST space compaction, parity tree, BIST compaction, genetic algorithms, Testing, Built-In Self-Test (BIST), design for testability
28Vicki H. Allan, Bogong Su, Pantung Wijaya, Jian Wang 0046 Foresighted Instruction Scheduling Under Timing Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF foresighted instruction scheduling, minimum timing information, foresighted compaction, data dependency graph arcs, data dependency information, maximum timing information, greedy compaction algorithms, scheduling, parallel algorithms, parallel programming, graph theory, timing constraints, programming theory, list scheduling, look ahead
26Li-Yi Wei, Jianwei Han, Kun Zhou 0001, Hujun Bao, Baining Guo, Heung-Yeung Shum Inverse texture synthesis. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GPU techniques, texture mapping, texture synthesis
26Thong Hang, Leonard B. Collard, Mark A. Phifer Subsidence study for non-crushable containers in slit trenches at the Savannah River site. Search on Bibsonomy SpringSim The full citation details ... 2008 DBLP  DOI  BibTeX  RDF contaminant transport, radioactive waste, radionuclides, environmental science
26Anshuman Chandra, Rohit Kapur Interval Based X-Masking for Scan Compression Architectures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X masking, test, compression, DFT, scan
26Guillermo Payá Vayá, Javier Martín-Langerwerf, Piriya Taptimthong, Peter Pirsch Design Space Exploration of Media Processors: A Parameterized Scheduler. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Weixing Ji, Feng Shi 0009, Baojun Qiao, Qi Zuo, Caixia Liu Performance Evaluation of a Self-Maintained Memory Module. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris Entropy-driven parity-tree selection for low-overhead concurrent error detection in finite state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Nagita Mehrseresht, David S. Taubman A flexible structure for fully scalable motion-compensated 3-D DWT with emphasis on the impact of spatial scalability. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Janusz Rajski, Jerzy Tyszer, Chen Wang 0014, Sudhakar M. Reddy Finite memory test response compactors for embedded test applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jianwen Zhu, Fang Fang, Qianying Tang Calligrapher: a new layout-migration engine for hard intellectual property libraries. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Ondrej Novák, Jirí Zahrádka, Zdenek Plíva COMPAS - Compressed Test Pattern Sequencer for Scan Based Circuits. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Naresh Neelapala, Romil Mittal, Jayant R. Haritsa SPINE: Putting Backbone into String Indexing. Search on Bibsonomy ICDE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Aiman El-Maleh, Ali Al-Suwaiyan An Efficient Test Relaxation Technique for Combinational & Full-Scan Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita Chip size estimation based on wiring area. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Markus Eiglsperger, Sándor P. Fekete, Gunnar W. Klau Orthogonal Graph Drawing. Search on Bibsonomy Drawing Graphs The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Effective Built-In Self-Test for Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Built-In Self Test, design for testability, data paths
26Surendra Bommu, Srimat T. Chakradhar, Kiran B. Doreswamy Vector Restoration Using Accelerated Validation and Refinement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara Compact test sets for high defect coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Onur G. Guleryuz, Michael T. Orchard Optimized nonorthogonal transforms for image compression. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26D. Lambidonis, André Ivanov, Vinod K. Agarwal Fast signature computation for BIST linear compactors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Bjørg Reppen, Einar J. Aas Combined probabilistic testability calculation and compact test generation for PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF probabilistic testability, Fault coverage, test pattern generation, programmable logic arrays
26Roshan A. Gidwani, Naveed A. Sherwani MISER: An Integrated Three Layer Gridless Channel Router and Compactor. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Dominique Chanet, Javier Cabezas, Enric Morancho, Nacho Navarro, Koen De Bosschere Linux Kernel Compaction through Cold Code Swapping. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sudhakar M. Reddy Dynamic test compaction for a random test generation procedure with input cube avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Ju-Yueh Lee, Yu Hu 0002, Rupak Majumdar, Lei He 0001 Simultaneous test pattern compaction, ordering and X-filling for testing power reduction. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Subramanian Rajagopalan, Sambuddha Bhattacharya, Shabbir H. Batterywala Efficient Analog/RF Layout Closure with Compaction Based Legalization. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Area oriented pass-transistor logic synthesis using buffer elimination and layout compaction. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Kolin Paul, Joël Porquet, Josep Llosa Silicon Compaction/Defragmentation for Partial Runtime Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Sean Safarpour, Andreas G. Veneris, Hratch Mangassarian Trace Compaction using SAT-based Reachability Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Henry H. Y. Chan, Zeljko Zilic A Performance Driven Layout Compaction Optimization Algorithm for Analog Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ali Iranli, Massoud Pedram Cycle-Based Decomposition of Markov Chains With Applications to Low-Power Synthesis and Sequence Compaction for Finite State Machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Suresh Kumar Devanathan, Michael L. Bushnell Sequential Spectral ATPG Using the Wavelet Transform and Compaction. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Sounil Biswas, Peng Li 0001, R. D. (Shawn) Blanton, Larry T. Pileggi Specification Test Compaction for Analog Circuits and MEMS. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Feng Shi 0010, Yiorgos Makris SPIN-PAC: test compaction for speed-independent circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Erik H. Volkerink, Subhasish Mitra Response compaction with any number of unknowns using a new LFSR architecture. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LFS, x-compact, compression, BIST, VLSI test
23Tezaswi Raja, Manish Parashar Using a Jini based desktop Grid for test vector compaction and a refined economic model. Search on Bibsonomy CCGRID The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris Concurrent Error Detection for Combinational and Sequential Logic via Output Compaction. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Michael G. Dimopoulos, Panagiotis Linardis Accelerating the compaction of test sequences in sequential circuits through problem size reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Andrea Di Blas, Arun Jagota, Richard Hughey A Range-Compaction Heuristic for Graph Coloring. Search on Bibsonomy J. Heuristics The full citation details ... 2003 DBLP  DOI  BibTeX  RDF iterative-greedy algorithm, DIMACS benchmarks, graph coloring
23Petros Drineas, Yiorgos Makris Non-Intrusive Concurrent Error Detection in FSMs through State/Output Compaction and Monitoring via Parity Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Warren Cheung, William S. Evans, Jeremy Moses Predicated Instructions for Code Compaction. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Ileana Streinu, Sue Whitesides Rectangle Visibility Graphs: Characterization, Construction, and Compaction. Search on Bibsonomy STACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Janusz Rajski, Jerzy Tyszer Test Data Compression and Compaction for Embedded Test of Nanometer Technology Designs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Multiple Full-Scan Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Ismet Bayraktaroglu, Alex Orailoglu Decompression Hardware Determination for Test Volume and Time Reduction through Unified Test Pattern Compaction and Compression. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Ozgur Sinanoglu, Alex Orailoglu Efficient Construction of Aliasing-Free Compaction Circuitry. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sudhakar M. Reddy Test compaction for at-speed testing of scan circuits based onnonscan test. sequences and removal of transfer sequences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Subhasish Mitra, Kee Sup Kim X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Yinyi Lin, Y. J. Wang, T. H. Fan Compaction of ordered dithered images with arithmetic coding. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Markus Eiglsperger, Michael Kaufmann 0001 Fast Compaction for Orthogonal Drawings with Vertices of Prescribed Size. Search on Bibsonomy GD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Heng-Liang Huang, Yeong-Ren Chen, Jing-Yang Jou, Wen-Zen Shen Grouped input power sensitive transition an input sequence compaction technique for power estimation. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Ilker Hamzaoglu, Janak H. Patel Test set compaction algorithms for combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Radu Marculescu, Diana Marculescu, Massoud Pedram Sequence compaction for power estimation: theory and practice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Markus Seuring, Michael Gössel A Structural Method for Output Compaction of Sequential Automata Implemented as Circuits. Search on Bibsonomy WIA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Sony Akkarakaran, P. P. Vaidyanathan The best basis problem, compaction problem and PCFB design problems [filter banks]. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Markus Seuring, Michael Gössel A Structural Approach for Space Compaction for Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Pankaj Chauhan, Pallab Dasgupta, P. P. Chakrabarti 0001 Exploiting Isomorphism for Compaction and Faster Simulation of Binary Decision Diagrams. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction via input reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Diana Marculescu, Radu Marculescu, Massoud Pedram Sequence Compaction for Probabilistic Analysis of Finite-State Machines. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Radu Marculescu, Diana Marculescu, Massoud Pedram Hierarchical Sequence Compaction for Power Estimation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Guy Bois, Eduard Cerny Efficient generation of diagonal constraints for 2-D mask compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Anand Raghunathan, Srimat T. Chakradhar Dynamic test Sequence compaction for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Bechir Ayari, Bozena Kaminska A new dynamic test vector compaction for automatic test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Vamsi Boppana, W. Kent Fuchs Fault dictionary compaction by output sequence removal. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Wolfgang Rülling, Thomas Schilz A new method for hierarchical compaction [VLSI]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Yang Cai 0003, Martin D. F. Wong Efficient via shifting algorithms in channel compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Toshio Nakatani, Kemal Ebcioglu Using a lookahead window in a compaction-based parallelizing compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
23Philip H. Sweany, Steven J. Beaty Post-compaction register assignment in a retargetable compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
23Yang Cai 0003, D. F. Wong 0001 Optimal via-shifting in channel compaction. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Akira Onozawa Layout Compaction with Attractive and Repulsive Constraints. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Chi-Yuan Lo, Ravi Varadarajan An O(n1.5logn) 1-d Compaction Algorithm. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Pantung Wijaya, Vicki H. Allan Incremental foresighted local compaction. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Richard Anderson, Simon Kahan, Martine D. F. Schlag An O(n log n) Algorithm for 1-D Tile Compaction. Search on Bibsonomy WG The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Chi-Yuan Lo Automatic Tub Region Generation for Symbolic Layout Compaction. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Jin-Fuw Lee A new framework of design rules for compaction of VLSI layouts. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Dov Harel, Balakrishnan Krishnamurthy A Graph Compaction Approach to Fault Simulation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
23Bogong Su, Shiyuan Ding, Jian Wang 0046, Jinshi Xia Microcode compaction with timing constraints. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1492 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license