The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase field-programmable (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (48) 1993 (25) 1994 (85) 1995 (144) 1996 (114) 1997 (147) 1998 (245) 1999 (245) 2000 (258) 2001 (215) 2002 (352) 2003 (425) 2004 (502) 2005 (500) 2006 (574) 2007 (528) 2008 (530) 2009 (382) 2010 (371) 2011 (305) 2012 (339) 2013 (401) 2014 (381) 2015 (329) 2016 (316) 2017 (314) 2018 (308) 2019 (363) 2020 (295) 2021 (273) 2022 (258) 2023 (251) 2024 (58)
Publication types (Num. hits)
article(895) book(3) incollection(9) inproceedings(8833) phdthesis(30) proceedings(111)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3154 occurrences of 1228 keywords

Results
Found 9881 publication records. Showing 9881 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27David C. Blight, Robert D. McLeod Self-Organizing Kohonen Maps for FPL Placement. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Li-Fei Wu, Marek A. Perkowski Minimization of Permuted Reed-Muller Trees for Cellular Logic. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Arne Linde, Tomas Nordström, Mikael Taveniku Using FPLs to Implement a Reconfigurable Highly Parallel Computer. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Lennart Lindh, Klaus D. Müller-Glaser, Hans Rauch, Frank Stanischewski A Real-Time Kernel - Rapid Prototyping with VHDL and FPLs. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Günter Biehl Overview of Complex Array-Based PLDs. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Beat Heeb, Cuno Pfister Chameleon: A Workstation of a Different Colour. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Georg J. Kempa, Peter Jung FPL Based Logic Synthesis of Squarers Using VHDL. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Naohisa Ohta, Kazuhisa Yamada, Akihiro Tsutsui, Hiroshi Nakada New Application of FPLs to Programmable Digital Communication Cirucits. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Andreas Ast, Reiner W. Hartenstein, Rainer Kress 0002, Helmut Reinig, Karin Schmidt Novel High Performance Machine Paradigms and Fast- Turnaround ASIC Design Methods. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Dave Allen Automatic One-Hot Re-Encoding for FPLs. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Peter Poechmueller, Hans-Jürgen Herpel, Manfred Glesner, Fang Longsen High Level Synthesis in an FPL-Based Computer Aided Prototyping Environment. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Scott Hauck, Gaetano Borriello, Steven M. Burns, Carl Ebeling MONTAGNE: An FPL for Synchronous and Asynchronous Circuits. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Herbert Grünbacher, Alexander Jaud JAPROC - An 8 bit Micro Controller Design and Its Test Environment. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Arno Kunzmann FPL Based Self-Test with Deterministic Test Patterns. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Bradly K. Fawcett SRAM-Based FPLs Ease System Verification. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Dwight D. Hill, Barry K. Britton, William Oswald, Nam Sung Woo, Satwant Singh, Che-Tsung Chen, Bob Krambeck ORCA: A New Architecture for High-Performance FPLs. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Paul Shaw, George J. Milne A Highly Parallel FPL-Based Machine and Its Formal Verification. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Erik Brunvand Using FPLs to Prototoype a Self-Timed Computer. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Masahiro Fujita, Yuji Kukimoto Patching Method for Lookup-Table Type FPLs. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Chingwei Yeh, Chao-Ching Wang, Lin-Chi Lee, Jinn-Shyan Wang A 124.8Msps, 15.6mW field-programmable variable-length codec for multimedia applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Evaluation of the field-programmable cache: performance and energy consumption. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processors, reconfigurable cache memory, static and dynamic energy consumption, performance evaluation, run-time adaptation
27Gail A. Walters Innovative technologies I - Applying scalable acalis field programmable multi-cores to HPC. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Phillip H. Jones, John W. Lockwood, Young H. Cho A Thermal Management and Profiling Method for Reconfigurable Hardware Applications. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27John Maher, Brian McGinley, Patrick Rocke, Fearghal Morgan Intrinsic Hardware Evolution of Neural Networks in Reconfigurable Analogue and Digital Devices. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Stéphane Simard, Rachid Beguenane, Éric Larouche, Luc Morin A 2005 review of FPGA arithmetic (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Weisheng Chong, Masanori Hariyama, Michitaka Kameyama Low-Power Field-Programmable VLSI Processor Using Dynamic Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Siva Nageswara Rao Borra, Annamalai Muthukaruppan, Sivaprakasam Suresh, V. Kamakoti 0001 A Parallel Genetic Approach to the Placement Problem for Field Programmable Gate Arrays. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27James Moscola, John W. Lockwood, Ronald Prescott Loui, Michael Pachos Implementation of a Content-Scanning Module for an Internet Firewall. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Sree Ganesan, Ranga Vemuri Analog-Digital Partitioning for Field-Programmable Mixed Signal Systems. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27David Karchmer, Jonathan Rose Definition and solution of the memory packing problem for field-programmable systems. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Robert J. Francis, Jonathan Rose, Kevin Chung Chortle: A Technology Mapping Program for Lookup Table-Based Field Programmable Gate Arrays. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Tim Good, Mohammed Benaissa AES on FPGA from the Fastest to the Smallest. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low area, Application Specific Instruction Processor (ASIP), Field Programmable Gate Array (FPGA), pipelined, Advanced Encryption Standard (AES), finite field, high throughput, design exploration
26John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault-tolerance, Field programmable gate array (FPGA)
26Santanu Chattopadhyay, Samir Roy, Parimal Pal Chaudhuri Technology mapping on a multi-output logic module built around Cellular Automata Array for a new FPGA architecture. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multi-output logic module, cellular automata array, design turn-around time, field programmability, rapid circuit realization, logic blocks, AND-XOR based logic, library based technology mapping technique, MCNC benchmarks, field programmable gate arrays, VLSI, cellular automata, logic CAD, testability, technology mapping, multivalued logic circuits, FPGA architecture
26Juan Manuel Moreno, Jordi Madrenas, Julio Faura, E. Cantó, Joan Cabestany, Josep Maria Insenser Feasible Evolutionary and Self-Repairing Hardware by Means of the Dynamic Reconfiguration Capabilities of the FIPSOC Devices. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Thomas C. P. Chau, Philip Heng Wai Leong, Sam M. H. Ho, Brian P. W. Chan, Steve C. L. Yuen, Kong-Pang Pun, Oliver C. S. Choy, Xinan Wang A comparison of via-programmable gate array logic cell circuits. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic cell, via-programmable gate arrays
25Tiago R. Balen, José Vicente Calvano, Marcelo Lubaszewski, Michel Renovell Built-In Self-Test of Field Programmable Analog Arrays based on Transient Response Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog built-in self-test, Transient response analysis, FPAA
25Scott Miller, Mihai Sima, Michael McGuire Alternatives in Designing Level-Restoring Buffers for Interconnection Networks in Field-Programmable Gate Arrays. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Steve Trimberger Redefining the FPGA for the Next Generation. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Didier Keymeulen, Ricardo Salem Zebulum, Rajeshuni Ramesham, Adrian Stoica, Srinivas Katkoori, Sharon Graves, Frank Novak, Charles Antill Self-Adaptive System Based on Field Programmable Gate Array for Extreme Temperature Electronics. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown A Multithreaded Soft Processor for SoPC Area Reduction. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Fei Li 0003, Yizhou Lin, Lei He 0001, Deming Chen, Jason Cong Power modeling and characteristics of field programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Gustavo Pereira, Antonio Andrade Jr., Tiago R. Balen, Marcelo Lubaszewski, Florence Azaïs, Michel Renovell Testing the Interconnect Networks and I/O Resources of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA testing, Mixed-signal test, interconnect testing, oscillation-based test
25Tyson S. Hall, Christopher M. Twigg, Paul E. Hasler, David V. Anderson Developing Large-Scale Field-Programmable Analog Arrays. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Haibo Wang 0005, Suchitra Kulkarni, Spyros Tragoudas On-line Testing Field Programmable Analog Array Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Uwe Meyer-Bäse, Suhasini Rao, Javier Ramírez 0001, Antonio García 0001 Area*Time Optimized Hogenauer Channelizer Design Using FPL Devices. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Adam Donlin, Axel Braun, Adam Rose SystemC for the Design and Modeling of Programmable Systems. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Erik Schüler, Luigi Carro A Low Power FPAA for Wide Band Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Young H. Cho, William H. Mangione-Smith Deep Packet Filter with Dedicated Logic and Read Only Memories. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Abdel Ejnioui, N. Ranganathan Routing on field-programmable switch matrices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25John Teifel, Rajit Manohar Programmable Asynchronous Pipeline Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Li Shang, Alireza Kaviani, Kusuma Bathala Dynamic power consumption in Virtex[tm]-II FPGA family. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Javier Ramírez 0001, Antonio García 0001 U. Meyer-Baese, A. Lloris: Fast RNS FPL-based Communications Receiver Design and Implementation. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Sylvain Poussier, Hassan Rabah, Serge Weber SOPC-based Embedded Smart Strain Gage Sensor. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Raoul Tawel, Taher Daud, Anil Thakoor Reconfigurable VLSI architectures for evolvable hardware: from experimental field programmable transistor arrays to evolution-oriented chips. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Jörg Langeheine, Joachim Becker, Simon Fölling, Karlheinz Meier, Johannes Schemmel Initial Studies of a New VLSI Field Programmable Transistor Array. Search on Bibsonomy ICES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Gordon J. Brebner, Oliver Diessel Chip-Based Reconfigurable Task Management. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Hamish Fallside, Michael John Sebastian Smith Internet Connected FPL. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Bernardo Kastrup, Jeroen Trum, Orlando Moreira, Jan Hoogerbrugge, Jef L. van Meerbergen Compiling Applications for ConCISe: An Example of Automatic HW/SW Partitioning and Synthesis. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Guy Lecurieux Lafayette Programmable System Level Integration Brings System-on-Chip Design to the Desktop. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Paul Chow, Soon Ong Seo, Jonathan Rose, Kevin Chung, Gerard Páez-Monzón, Immanuel Rahardja The design of an SRAM-based field-programmable gate array. I. Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Paul Chow, Soon Ong Seo, Jonathan Rose, Kevin Chung, Gerard Páez-Monzón, Immanuel Rahardja The design of a SRAM-based field-programmable gate array-Part II: Circuit design and layout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Daniel Mange, Eduardo Sanchez, André Stauffer, Gianluca Tempesti, Pierre Marchal, Christian Piguet Embryonics: a new methodology for designing field-programmable gate arrays with self-repair and self-replicating properties. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Stephen Charlwood, Philip James-Roxby Evaluation of the XC6200-series Architecture for Cryptographic Applications. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Julio Faura, C. Horton, B. Krah, Joan Cabestany, M. A. Aguirre, Josep Maria Insenser A new field programmable system-on-a-chip for mixed signal integration. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25M. Agarwala, Poras T. Balsara An architecture for a DSP field-programmable gate array. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Russell D. Meier 0001 Rapid prototyping of a RISC architecture for implementation in FPGAs. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Michael Demjanenko, Shambhu J. Upadhyaya Yield enhancement of field programmable logic arrays by inherent component redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Maxwell Walton, Gary Gréwal, Gerarda A. Darlington Parallel FPGA-based implementation of scatter search. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 0-1 knapsack problem, field programmable gate arrays, pipelining, hardware acceleration, data parallelism, scatter search
25Jin Hwan Park, H. K. Dai 0001 Reconfigurable hardware solution to parallel prefix computation. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel prefix computation, Field-programmable gate arrays, Pipeline, Dataflow, Reconfigurable hardware
25Tarek A. El-Ghazawi, Esam El-Araby, Miaoqing Huang, Kris Gaj, Volodymyr V. Kindratenko, Duncan A. Buell The Promise of High-Performance Reconfigurable Computing. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HPRC systems, field-programmable gate arrays, high-performance computing, reconfigurable computing
25Adam Handzlik, Andrzej Jablonski "Chameleon" Software Defined Control Platform. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Signal processing architectures, control platform development, innovative reprogrammable technology, virtual Programmable Logic Controller, Field Programmable Gate Arrays, IP Core
25Ali El Kateeb, Lubna Al Azzawi Low Cost HIV Testing System for Tele-Health Applications. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HIV kits, HIV screening, Field programmable gate array (FPGA), System-on-chip (SOC)
25Ahmad Darabiha, W. James MacLean, Jonathan Rose Reconfigurable hardware implementation of a phase-correlation stereoalgorithm. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stereo disparity estimation, Frame rate implementation, Reconfigurable hardware implementation, Field Programmable Gate Arrays (FPGAs), Phase correlation
25Slawomir Cichon, Marek Gorgon, Miroslaw Pac Handel-C Design Enhancement for FPGA-Based DV Decoder. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF video decompression, field programmable gate array, Parallel algorithm, high level languages
25Hima B. Damecharla, Kamal K. Varma, Joan Carletta, Amy E. Bell FPGA implementation of a parallel EBCOT tier-1 encoder that preserves coding efficiency. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EBCOT, JPEG 2000 image compression, arithmetic encoder, field programmable gate array
25Mihai Sima, Sorin Cotofana, Jos T. J. van Eijndhoven, Stamatis Vassiliadis, Kees A. Vissers IEEE-Compliant IDCT on FPGA-Augmented TriMedia. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform, field-programmable gate array, configurable computing, VLIW processor
25Andrés David García García, Luis Fernando González Pérez, Reynaldo Félix Acuña Power Consumption Management on FPGAs. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Genetic Algorithms, Field Programmable Gate Array, Power Consumption, Partial Reconfiguration, Circuit Design
25Sai Gopalan, Gayathri Venkataraman, Sabu Emmanuel FPGA Implementation and Analyses of Cluster Maintenance Algorithms in Mobile Ad-Hoc Networks. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cluster maintenance algorithm, VHDL (Very High Speed Integrated Circuit Hardware Description Language), FPGA (Field Programmable Gate Arrays), Mobile ad-hoc networks
25Phillip A. Laplante, William Gilreath One Instruction Set Computers for Image Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF OISC, one-instruction computing, FPGA, field programmable gate array, image processing, reconfigurable computing
25Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
25Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, Built-In Self-Test, delay faults
25Javier Ramírez 0001, Uwe Meyer-Bäse, Fred J. Taylor, Antonio García 0001, Antonio Lloris-Ruíz Design and Implementation of High-Performance RNS Wavelet Processors Using Custom IC Technologies. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RNS arithmetic, custom integrated circuit, field-programmable logic devices, discrete wavelet transform
25Byoungro So, Pedro C. Diniz, Mary W. Hall Using estimates from behavioral synthesis tools in compiler-directed design space exploration. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF synthesis techniques for reconfigurable computing, field-programmable-gate-array, high-level synthesis, rapid prototyping, design space exploration
25Joan Carletta, Robert J. Veillette, Frederick W. Krach, Zhengwei Fang Determining appropriate precisions for signals in fixed-point IIR filters. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF finite word length effects, infinite impulse response filter, field programmable gate array, design methodology
25J. M. Pierre Langlois, Dhamin Al-Khalili, Robert J. Inkol Polyphase Filter Approach for High Performance, FPGA-Based Quadrature Demodulation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF quadrature demodulation, digital down conversion, polyphase filtering, field programmable gate arrays, digital filtering
25Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerant FPGA-based Multi-Stage Interconnection Network for Space Applications. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Field programmable Gate Arrays, Multistage Interconnection Network, Space Applications
25Wei-Je Huang, Subhasish Mitra, Edward J. McCluskey Fast Run-Time Fault Location in Dependable FPGA-Based Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Run-time fault location, Field-Programmable Gate Array (FPGA), concurrent error detection, on-line testing
25Cheng-Hsing Yang, Sao-Jie Chen, Jan-Ming Ho, Chia-Chun Tsai Efficient routability check algorithms for segmented channel routing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field programmable gate arryas (FPGAs), segmented channel, routing
25Sree Ganesan, Ranga Vemuri A Methodology for Rapid Prototyping of Analog Systems. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF rapid prototyping, technology mapping, placement and routing, FPAA, field-programmable analog arrays
25Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra Transient and Permanent Fault Diagnosis for FPGA-Based TMR Systems. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF transient fault detection, Field Programmable Gate Array, TMR systems
25Peichen Pan, C. L. Liu 0001 Optimal clock period FPGA technology mapping for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis
25Cecilia Metra, Michel Renovell, Giovanni A. Mojoli, Jean-Michel Portal, Sandro Pastore, Joan Figueras, Yervant Zorian, Davide Salvi, Giacomo R. Sechi Novel Technique for Testing FPGAs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, testing, reuse, diagnosis
25Donald L. Hung, Antonio Arsgao, Jorge L. Silva, Eduardo Marques, Karl Hillesland UB1 - a recurrent neural network based parallel machine for solving simultaneous linear equations. Search on Bibsonomy SBRN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF UB1 recurrent neural network, simultaneous linear equation solving, synchronous execution, field programmable gate arrays, real-time systems, parallel machine, systolic array, neural chips, ring topology, neural net architecture
25Nalini K. Ratha, Kalle Karu, Shaoyun Chen, Anil K. Jain 0001 A Real-Time Matching System for Large Fingerprint Databases. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF minutiae points, field programmable gate array, indexing, image registration, Image database, fingerprint matching
25T. Raju Damarla, Moon J. Chung, Wei Su, Gerald T. Michael Faulty chip identification in a multi chip module system. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF faulty chip identification, multi chip module, linear space compressor, field programmable gate array, fault diagnosis, data compression, data compression, built-in self test, built-in self test, integrated circuit testing, fault detection, comparator, multichip modules
25Fran Hanchek, Shantanu Dutt Node-Covering Based Defect and Fault Tolerance Methods for Increased Yield in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit reconfiguration, node covering, fault tolerance, field programmable gate array (FPGA), yield improvement
25Tong Liu 0007, Fabrizio Lombardi, José Salinas Diagnosis of interconnects and FPICs using a structured walking-1 approach. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnect chips, structured walking-1 approach, boundary scan architectures, one-step test generation, two-step test generation, fault diagnosis, integrated circuit testing, diagnosis, automatic testing, boundary scan testing, interconnects testing, integrated circuit interconnections
25Max Roger Pokam, Jean-Francois Guillaud, Gérard Michel Integrated multimedia in manufacturing networks using ATM. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF manufacturing networks, continuous media data flows, process control plants, multimedia application service element, intelligent communication board, high level communication functions, multimedia load, field programmable gate array, multimedia, asynchronous transfer mode, ATM, local area networks, multimedia computing, manufacturing processes, real-time distributed systems, factory automation, TCP/IP protocol
24Paul E. Hasler Low-Power Programmable Signal Processing, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 9881 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license